Thursday, 2023-02-23

*** tpb <[email protected]> has joined #yosys00:00
*** so-offish1 <so-offish1!~so-offish@2610:148:610:2b10::b> has quit IRC (Quit: Leaving)00:02
*** so-offish <so-offish!~so-offish@2610:148:610:2b10::b> has joined #yosys00:07
*** marex <[email protected]> has joined #yosys00:28
marexHi, looking at https://github.com/YosysHQ/yosys/tree/master/techlibs/intel , do I understand it right that yosys is now able to assemble a bitstream for altera pre-alm FPGAs ? :O00:29
marexentirely without quartus ?00:29
whitequarkno01:01
whitequarkit only did synthesis and it kind of doesn't anymore, that techlib is very old and I think untested01:01
marexwhitequark: oh, so, where do I find out which FPGAs are actually fully supported, so I know what to buy and what to avoid ?01:02
marexthe ice40 is obvious, maybe the gatemate is also OK ? but what else ?01:02
mwkit's.... actually tested, with a big red QA FAIL stamped on it01:03
whitequarkice40, ecp501:03
marexah right, ecp5 too01:03
whitequarkgowin, I think?01:03
marexI recall seeing something for that too01:04
marexI got confused by the altera stuff, since I think rqou worked on it https://github.com/ArcaneNibble/project-chibi here01:04
whitequarknexus if you can find those01:04
marexand it seems the evil/ subdir would indicate that err ... well, it might be possible to read out the FPGA topologies from quartus data files, just by parsing those01:04
marexI'm not quite sure how that is license-wise, it might be dubious01:05
*** so-offish <so-offish!~so-offish@2610:148:610:2b10::b> has quit IRC (Ping timeout: 246 seconds)01:06
marexwhitequark: can I somehow grep the sources to find out what is supported now ?01:07
whitequarkyou can look at nextpnr's README01:09
whitequarksince nextpnr is what actually makes the bitstream01:09
marexahhh, that is what I was looking for, thanks !01:09
*** bl0x <[email protected]> has joined #yosys02:17
*** bl0x_ <bl0x_!~bl0x@2003:d7:a709:5d00:e2a0:1a81:71e0:4ee7> has quit IRC (Ping timeout: 252 seconds)02:18
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys02:52
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Remote host closed the connection)03:20
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has quit IRC (Quit: Connection reset by peep)03:41
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys03:43
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has joined #yosys04:41
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 255 seconds)04:44
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys06:37
*** FabM <[email protected]> has joined #yosys07:10
*** anticw <[email protected]> has quit IRC (Remote host closed the connection)09:44
*** anticw <[email protected]> has joined #yosys09:45
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)09:53
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys09:54
*** pbsds <[email protected]> has quit IRC (Ping timeout: 246 seconds)13:26
*** ikskuh <ikskuh!~xq@2a0d:5940:6:163::ad7e> has quit IRC (Quit: Und tschüss!)13:39
*** ikskuh <[email protected]> has joined #yosys13:49
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 255 seconds)14:26
*** pbsds <[email protected]> has joined #yosys14:32
*** so-offish <[email protected]> has joined #yosys16:07
*** so-offishul <so-offishul!~so-offish@2610:148:610:2b10::7c> has joined #yosys16:09
*** so-offishul <so-offishul!~so-offish@2610:148:610:2b10::7c> has quit IRC (Remote host closed the connection)16:09
*** so-offish <[email protected]> has quit IRC (Ping timeout: 260 seconds)16:13
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Remote host closed the connection)16:25
*** jn <jn!~quassel@user/jn/x-3390946> has joined #yosys17:15
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Quit: Leaving)17:45
*** so-offish <so-offish!~so-offish@2610:148:610:2b10::7c> has joined #yosys17:51
jnHi, i have a question about prjtrellis and MachXO2: what's a "row span"?  (as seen in https://github.com/YosysHQ/prjtrellis/blob/master/tools/gen_globals.py#L23)17:52
*** bjorkintosh <bjorkintosh!~bjork@2600:1700:5400:c80:bcb6:a665:b057:7915> has joined #yosys18:01
*** bjorkintosh <bjorkintosh!~bjork@user/bjorkintosh> has quit IRC (Quit: Leaving)18:20
*** bjorkintosh <bjorkintosh!~bjork@2600:1700:5400:c80:bcb6:a665:b057:7915> has joined #yosys18:20
*** strobo <[email protected]> has quit IRC (Ping timeout: 246 seconds)19:22
*** strobo <[email protected]> has joined #yosys19:24
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)23:08
*** nonchip <[email protected]> has joined #yosys23:09

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!