Thursday, 2023-01-26

*** tpb <[email protected]> has joined #yosys00:00
*** emeb_mac <[email protected]> has joined #yosys00:01
*** emeb_mac <[email protected]> has quit IRC (Client Quit)00:02
*** ecs <ecs!ecs@user/ecs> has quit IRC (Remote host closed the connection)00:09
*** ecs <ecs!ecs@user/ecs> has joined #yosys00:12
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Quit: Connection reset by peep)02:22
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys02:38
*** bl0x_ <[email protected]> has joined #yosys02:43
*** bl0x <[email protected]> has quit IRC (Ping timeout: 260 seconds)02:45
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Remote host closed the connection)02:48
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys03:00
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys03:11
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Remote host closed the connection)03:12
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys03:12
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 255 seconds)04:02
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys04:07
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 255 seconds)06:05
*** bjorkint0sh <bjorkint0sh!~bjork@2600:1700:5400:c80:7ce1:6b0a:cdc5:a866> has joined #yosys07:01
*** bjorkintosh <bjorkintosh!~bjork@2600:1700:5400:c80:a3e2:346d:f750:5d86> has quit IRC (Ping timeout: 248 seconds)07:02
bl0x_btw, is there any experience with the Cologne Chip FPGAs from Germany? They seem to use Yosys in their standard toolchain + a custom PnR.09:21
trabucayrebl0x_: https://github.com/trabucayre/GateMate_demos ?10:12
trabucayrelast toolchain is a bit more stable10:17
tntbl0x_: no experience yet, but according to UPS I should have a dev kit in a few hours :)10:43
bl0x_tnt: what is the price for one? I requested a quote but no answer yet.10:50
*** anuejn_ is now known as anuejn10:53
tntbl0x_: I have no idea sorry :/10:55
tntAh nm, it's 234 EUR10:56
tntit's on digikey.10:56
tntsearch for CCGM1A1-E1-31B10:56
trabucayrebl0x_: I have to finish with https://github.com/YosysHQ/yosys/pull/3321 :-/10:59
trabucayretnt: you must replace ALL jumpers to avoid regulators issue11:01
tnttrabucayre: replace all jumpers ?11:12
trabucayreeval board has jumper like: https://www.technobotsonline.com/images/detailed/5/Ext-2700-070.jpg11:13
trabucayreI better to use something like: https://resources.altium.com/sites/default/files/inline-images/unnamed_3.png11:14
trabucayreto avoid reboot11:14
bl0x_tnt: thanks13:03
*** dormito <dormito!~dullfire@user/dormito> has joined #yosys13:53
dormitoHmm. I just noticed that a recent (last ~ 14 days) sby (symbiyosys) seems to now be driving yosys incorrectly: get complaints that "formalff -setundef -clk2ff -ff2anyinit -hierarchy" has an unknown option.13:57
jix_dormito: it should work with the latest yosys14:29
*** foxfromabyss <foxfromabyss!~foxfromab@2a01:c23:60a3:d900:2b92:87a1:a849:4de> has joined #yosys16:11
*** foxfromabyss <foxfromabyss!~foxfromab@2a01:c23:60a3:d900:2b92:87a1:a849:4de> has quit IRC (Quit: Client closed)16:40
*** Mango555 <Mango555!~mango555@bras-base-mtrlpq0768w-grc-05-70-53-221-217.dsl.bell.ca> has joined #yosys16:49
*** mango551 <mango551!~mango555@bras-base-mtrlpq0768w-grc-05-70-53-221-217.dsl.bell.ca> has quit IRC (Ping timeout: 252 seconds)16:51
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 252 seconds)18:29
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys18:42
*** FabM <FabM!~FabM@2a03:d604:103:600:2e60:8c7c:e8fb:7990> has joined #yosys18:47
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 252 seconds)19:18
*** josuah_ is now known as josuah21:38
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 248 seconds)21:46
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys21:49
*** foxfromabyss <foxfromabyss!~foxfromab@2a01:c23:60a3:d900:2b92:87a1:a849:4de> has joined #yosys21:58
foxfromabysshi! I am currently using yosys to get systemverilog files elaborated and then fed into nextpnr for a xilinx board. That part works, even though I am using a couple of vendor specific primitives. However, as part of the design flow, I wanted to get `write_cxxrtl` to run. It sadly fails with `External blackbox cell `BUFGCE' is not marked as a CXXRTL22:05
foxfromabyssblackbox.`. I am at a loss as to how to configure a specific module to be blackboxed. I've tried to include the BUFGCE unisim source file from the vivado libs, but that didn't work. I am honestly at a loss at this stage and would appreciate any sort of pointers/directions :)22:05
foxfromabysshere's how I am invoking it: `yosys -q -L ${BUILDDIR}/yosys.log -m systemverilog -p "read_systemverilog -defer ${SOURCES}; read_systemverilog -link; hierarchy -top rvlab_fpga_top; synth_xilinx; write_cxxrtl test.cpp"`22:06
foxfromabysshere's how I am invoking it: `yosys -q -L ${BUILDDIR}/yosys.log -m systemverilog -p "read_systemverilog -defer ${SOURCES}; read_systemverilog -link; hierarchy -top fpga_top; synth_xilinx; write_cxxrtl test.cpp"`22:06
*** foxfromabyss <foxfromabyss!~foxfromab@2a01:c23:60a3:d900:2b92:87a1:a849:4de> has quit IRC (Quit: Client closed)22:13
*** nonchip <[email protected]> has quit IRC (Quit: No Ping reply in 180 seconds.)22:18
*** nonchip <[email protected]> has joined #yosys22:20
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)23:07
*** nonchip <[email protected]> has joined #yosys23:07

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!