Monday, 2022-12-12

*** tpb <[email protected]> has joined #yosys00:00
*** AdamHorden <[email protected]> has quit IRC (Quit: Adam Horden)00:03
*** AdamHorden <[email protected]> has joined #yosys00:04
*** lkcl <[email protected]> has joined #yosys00:33
*** Tokamak__ <Tokamak__!~Tokamak@2607:fb91:14a8:c0af:41b5:45e3:7aa1:7516> has quit IRC (Ping timeout: 255 seconds)01:30
*** Tokamak_ <[email protected]> has joined #yosys01:34
*** bl0x_ <bl0x_!~bl0x@p200300d7a70705004392200b8dc4c2ac.dip0.t-ipconnect.de> has joined #yosys02:04
*** bl0x <[email protected]> has quit IRC (Ping timeout: 256 seconds)02:05
*** strobo <[email protected]> has quit IRC (Ping timeout: 256 seconds)02:54
*** strobo <[email protected]> has joined #yosys02:55
*** bl0x <[email protected]> has joined #yosys03:02
*** bl0x_ <bl0x_!~bl0x@p200300d7a70705004392200b8dc4c2ac.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 256 seconds)03:03
*** Tokamak_ <[email protected]> has quit IRC (Ping timeout: 256 seconds)03:39
*** Tokamak_ <[email protected]> has joined #yosys03:44
*** FabM <FabM!~FabM@2a03:d604:103:600:2e60:8c7c:e8fb:7990> has joined #yosys07:19
*** ZipCPU <[email protected]> has quit IRC (Quit: ZNC 1.7.5+deb4 - https://znc.in)08:59
*** ZipCPU <ZipCPU!~ZipCPU@2601:5cd:c200:63c0:1ac0:4dff:fe02:d4f0> has joined #yosys09:00
*** bl0x <[email protected]> has quit IRC (Ping timeout: 260 seconds)11:53
*** bl0x <[email protected]> has joined #yosys11:56
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys12:41
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 255 seconds)14:40
*** dormito <dormito!~dullfire@user/dormito> has joined #yosys16:35
dormitore: nextpnr-nexus and prjoxide: does nextpnr make use of the the prjoxid binaries, or just the database files?18:07
gatecatthe prjoxide binary is needed at build time only18:09
gatecathowever, if you want to get a design onto a chip, you'll also need it as a separate step (i.e. not called or linked into nextpnr) to convert the fasm that nextpnr produces into a binary bitstream18:09
dormitonextpntr (|& prjoxid) build time right?18:09
gatecatthe prjoxide binary is needed at nextpnr build time18:09
gatecatto build the database for nextpnr18:10
dormitohmmm. ok thanks.18:10
dormitobtw: gatecat you the original author of prjoxide right? do you know if anyone is interested in supported for avant-e (obviously when the hw is available. the dev board is still "contact sales", lol)?18:13
gatecatmaybe...18:13
gatecatfrom an initial look over the last few days it would require a certain amount of changes throughout (some bitstream changes, more config in "register space" than "bitstream space", slice structure changes etc) that have to be balanced against how interesting the chips actually are against e.g. just putting effort into xilinx or intel18:14
gatecatI don't expect these parts to be cheap18:14
dormitocompletely guessing from the CertusPro-NX versa board, and the relative price/complexity. My (very limted exp) guess would be 1-2K for the eval board on their site. which is rather  pricy for a lattice dev board.18:18
gatecatagreed, unfortunately18:21
gatecatand probably $1k ish for the 500k chip in single qty too tbh18:21
*** indy <[email protected]> has quit IRC (Ping timeout: 256 seconds)18:25
bjonnh[m]Did anybody manage to get the DSP working on an ECP5?19:06
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys20:00
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 255 seconds)20:03
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 255 seconds)20:37
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys20:42
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 260 seconds)21:16
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 255 seconds)21:55
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys21:56
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)23:05
*** nonchip <[email protected]> has joined #yosys23:05
*** strobo <[email protected]> has quit IRC (Quit: leaving)23:21

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!