Sunday, 2022-11-06

*** tpb <[email protected]> has joined #yosys00:00
*** vancz <vancz!~vancz@user/vancz> has quit IRC ()00:01
*** vancz <vancz!~vancz@user/vancz> has joined #yosys00:08
*** nonchip <[email protected]> has quit IRC (Ping timeout: 260 seconds)01:07
*** nonchip <[email protected]> has joined #yosys01:08
*** bpye <bpye!~bpye@user/bpye> has quit IRC (Quit: Ping timeout (120 seconds))01:35
*** bpye <bpye!~bpye@user/bpye> has joined #yosys01:36
*** GenTooMan <[email protected]> has joined #yosys01:52
*** tpb_ <[email protected]> has joined #yosys02:02
*** tpb <[email protected]> has quit IRC (Killed (NickServ (GHOST command used by tpb_)))02:02
*** tpb_ is now known as tpb02:02
*** vup <[email protected]> has quit IRC (*.net *.split)03:58
*** killjoy <killjoy!~nameless@user/killjoy> has quit IRC (*.net *.split)03:58
*** vup <[email protected]> has joined #yosys03:59
*** killjoy <[email protected]> has joined #yosys04:00
*** pepijndevos[m] <pepijndevos[m]!~pepijndev@2001:470:69fc:105::b6a8> has quit IRC (*.net *.split)04:03
*** corecode <[email protected]> has quit IRC (*.net *.split)04:03
*** bq <[email protected]> has quit IRC (*.net *.split)04:03
*** corecode <[email protected]> has joined #yosys04:04
*** bq <[email protected]> has joined #yosys04:04
*** pepijndevos[m] <pepijndevos[m]!~pepijndev@2001:470:69fc:105::b6a8> has joined #yosys04:07
*** programmerjake <programmerjake!~programme@2001:470:69fc:105::172f> has quit IRC (*.net *.split)04:16
*** acharles <acharles!~acharlesm@2001:470:69fc:105::188d> has quit IRC (*.net *.split)04:16
*** xiretza[m] <xiretza[m]!~xiretzaxi@2001:470:69fc:105::9b1> has quit IRC (*.net *.split)04:16
*** mithro <[email protected]> has quit IRC (*.net *.split)04:16
*** mithro <[email protected]> has joined #yosys04:17
*** programmerjake <programmerjake!~programme@2001:470:69fc:105::172f> has joined #yosys04:20
*** acharles <acharles!~acharlesm@2001:470:69fc:105::188d> has joined #yosys04:22
*** xiretza[m] <xiretza[m]!~xiretzaxi@2001:470:69fc:105::9b1> has joined #yosys04:23
*** chaoticryptidz <[email protected]> has quit IRC (*.net *.split)04:32
*** mobius <[email protected]> has quit IRC (*.net *.split)04:32
*** anuejn <[email protected]> has quit IRC (*.net *.split)04:32
*** benreynwar <[email protected]> has quit IRC (*.net *.split)04:32
*** Peetz0r <Peetz0r!~Peetz0r@revspace/participant/peetz0r> has quit IRC (*.net *.split)04:32
*** mathu_ <mathu_!~matt@user/mathu> has quit IRC (*.net *.split)04:32
*** benreynwar <[email protected]> has joined #yosys04:32
*** mobius <[email protected]> has joined #yosys04:34
*** anuejn <[email protected]> has joined #yosys04:34
*** Peetz0r <Peetz0r!~Peetz0r@revspace/participant/peetz0r> has joined #yosys04:35
*** chaoticryptidz <[email protected]> has joined #yosys04:35
*** df00z <df00z!~df00z@2605:9480:12c:24a0:34f4:a936:7895:84f4> has joined #yosys04:37
df00zI'm new to all this stuff.  Kinda toying around.   I have some like reg arrays, 128 bits, 256 bits.   I wanna map 0 to 0, 1 to 7, 2 to 15 and so on.  Like memory allocation, 256 bits deep, 8 bits wide.  Is there any intelligent way to do that in verilog 2005 or with yosys?  SystemVerilog has 2d arrays that work well.  reg [0:127] lut_l =04:41
df00z128'h00070e091c1b1215383f363124232a2d;  Basically I wanna make something like that accessible with lut_l[0] = 00, lut_l[1] = 07 and so on04:41
df00zGoogling around I just see people cursing verilog 2005.   I tried one solution I found to use wires and assign a 2d array of wires back to the 1d array, it does work but uses lots of resources it seems04:42
df00zI could build a 4x4 bit multiplier i guess, or like a precalculated multiplication table but it seems whack, should be easier04:43
*** killjoy <killjoy!~nameless@user/killjoy> has quit IRC (Ping timeout: 260 seconds)06:03
*** killjoy <[email protected]> has joined #yosys06:04
*** df00z <df00z!~df00z@2605:9480:12c:24a0:34f4:a936:7895:84f4> has quit IRC (Quit: Client closed)06:25
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys11:47
*** srk <srk!~sorki@user/srk> has joined #yosys14:04
*** kraiskil <[email protected]> has joined #yosys14:33
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 252 seconds)15:15
*** freemint <freemint!~freemint@2001:638:904:ffe8:339b:2f0c:7daf:5607> has joined #yosys15:49
*** freemint <freemint!~freemint@2001:638:904:ffe8:339b:2f0c:7daf:5607> has quit IRC (Client Quit)15:53
*** chuangzhu <chuangzhu!~chuangmel@2001:470:69fc:105::1:d139> has quit IRC (Quit: You have been kicked for being idle)16:00
*** oldtopman <[email protected]> has quit IRC (Remote host closed the connection)16:11
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 255 seconds)16:30
*** df00z <df00z!~df00z@2605:9480:12c:24a0:83f:7b2f:583:44b4> has joined #yosys17:05
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has joined #yosys17:56
df00zI more or less figured it out.   Can initialize a 2d array of 8 bits in an initial block.  It won't look like BRAM though to the synth(probably expected)  One can model something that looks like BRAM and do the same.  For going the other direction, 1d to 2d...I'm writing a serializer\deserializer so I can just count bits and every 8 add 1 to a byte counter, no need to do anything else18:01
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Ping timeout: 255 seconds)18:20
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #yosys18:33
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Max SendQ exceeded)18:36
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #yosys18:53
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Ping timeout: 252 seconds)19:02
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #yosys19:13
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has quit IRC (Ping timeout: 252 seconds)21:27
*** Guest45 <Guest45!~Guest45@200116b82d7804004cba88fffeaf0353.dip.versatel-1u1.de> has joined #yosys22:54
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)23:02
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:03
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)23:03
*** nonchip <[email protected]> has joined #yosys23:03
*** Guest45 <Guest45!~Guest45@200116b82d7804004cba88fffeaf0353.dip.versatel-1u1.de> has quit IRC (Ping timeout: 260 seconds)23:07
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)23:16
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys23:16

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!