Monday, 2022-10-17

*** tpb <[email protected]> has joined #yosys00:00
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys02:58
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Ping timeout: 268 seconds)03:04
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #yosys03:17
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Max SendQ exceeded)03:20
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #yosys03:38
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Max SendQ exceeded)03:42
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Remote host closed the connection)03:59
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #yosys03:59
*** lkcl <[email protected]> has quit IRC (*.net *.split)05:13
*** dys <dys!~dys@user/dys> has quit IRC (*.net *.split)05:13
*** whitequark <whitequark!~whitequar@2001:470:69fc:105::37> has quit IRC (*.net *.split)05:13
*** jevinskie[m] <jevinskie[m]!~jevinskie@2001:470:69fc:105::bb3> has quit IRC (*.net *.split)05:13
*** rektide <[email protected]> has quit IRC (*.net *.split)05:13
*** tlwoerner <[email protected]> has quit IRC (*.net *.split)05:13
*** esden <[email protected]> has quit IRC (*.net *.split)05:13
*** tnt <tnt!~tnt@osmocom/tnt> has quit IRC (*.net *.split)05:13
*** stephe <[email protected]> has quit IRC (*.net *.split)05:13
*** tlwoerner <[email protected]> has joined #yosys05:13
*** stephe <[email protected]> has joined #yosys05:13
*** esden <[email protected]> has joined #yosys05:14
*** lkcl <[email protected]> has joined #yosys05:15
*** whitequark <whitequark!~whitequar@2001:470:69fc:105::37> has joined #yosys05:18
*** rektide <[email protected]> has joined #yosys05:18
*** tnt <tnt!~tnt@osmocom/tnt> has joined #yosys05:18
*** jevinskie[m] <jevinskie[m]!~jevinskie@2001:470:69fc:105::bb3> has joined #yosys05:18
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Quit: Connection reset by peep)05:30
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys05:39
*** FabM <FabM!~FabM@2a03:d604:103:600:2e60:8c7c:e8fb:7990> has joined #yosys06:12
*** dys <dys!~dys@user/dys> has joined #yosys06:37
*** indy <[email protected]> has quit IRC (Ping timeout: 264 seconds)08:58
*** indy <[email protected]> has joined #yosys09:33
*** Guest82 <[email protected]> has joined #yosys10:31
Guest82Hello10:31
gatecatnya~!10:32
Guest82I am working with yosys synthesizer, I want to run systhesis on xilinx hardware10:32
gatecatmm, what's the problem exactly?10:33
Guest82I have a model which runs on Colognechip fpga using yosys synthesizer, now I want to run the same model on Xilinx fpga for example Alveo board using Yosys10:35
gatecatare you still planning on vivado for place and route?10:35
Guest82no Actually I have prebuild binaries for cologne chip for place and route tool. I want to use that10:36
gatecatfor xilinx??10:37
Guest82for colognechip10:37
gatecatright, that's fine10:37
gatecatbut what do you want to use for place and route for xilinx10:37
Guest82I actualy have complete toolchain working with cologne chip fpga , there they are using Yosys, P and R tool and OpenFPGALoader for generating bit stream.10:38
Guest82so now I want to integrate the xilinx fpga in this toolchain10:39
gatecatthat's fine, but as well as synthesis you will need something to do xilinx place and route10:39
Guest82yes  understand10:40
gatecatthat could be vivado, the experimental and currently abandoned nextpnr, or the experimental f4pga stuff. of those only vivado will be able to target an alveo scale ultrascale+ board10:40
gatecat*nextpnr xilinx port10:40
gatecat(to clarify nextpnr itself is still well maintained and stable for other arches such as ice40/ecp5 it's just the xilinx stuff that isn't)10:41
Guest82ok can xcu i04 or 106 board, or kria boards has those targets to run pnr10:42
gatecatnope10:43
gatecatunless you want to use vivado10:43
Guest82I want to omit using vivado10:43
gatecatthus far all the open source stuff that's even vaguely usable (i.e. either of those projects) has been primarily targeting artix-/zynq-710:43
Guest82want to move to open source10:43
Guest82ok10:44
Guest82can I be back in 30 min, it is urgent10:44
Guest82will this connection be active10:44
Guest82?10:44
gatecatif you lose your IRC session you can always start again and still check the logs at https://libera.irclog.whitequark.org/yosys/ (link in the channel description too)10:45
tpbTitle: #yosys on 2022-10-17 — irc logs at libera.irclog.whitequark.org (at libera.irclog.whitequark.org)10:45
*** mewt <[email protected]> has quit IRC (Read error: Connection reset by peer)11:48
*** indy <[email protected]> has quit IRC (Ping timeout: 252 seconds)12:05
*** indy <[email protected]> has joined #yosys12:22
*** chaoticryptidz <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)12:57
*** chaoticryptidz <[email protected]> has joined #yosys12:58
*** chaoticryptidz <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)13:15
*** chaoticryptidz <[email protected]> has joined #yosys13:15
*** mewt <[email protected]> has joined #yosys14:23
*** oldtopman <[email protected]> has joined #yosys14:28
*** Guest82 <[email protected]> has quit IRC (Quit: Client closed)14:35
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 268 seconds)16:14
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has joined #yosys17:28
*** indy <[email protected]> has quit IRC (Quit: ZNC 1.8.2 - https://znc.in)18:01
*** indy <[email protected]> has joined #yosys18:02
*** indy <[email protected]> has quit IRC (Ping timeout: 252 seconds)18:08
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has quit IRC (Ping timeout: 260 seconds)18:16
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has joined #yosys19:56
*** indy <[email protected]> has joined #yosys20:01
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has quit IRC (Ping timeout: 252 seconds)20:20
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:02
*** nonchip <[email protected]> has joined #yosys22:02

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!