Wednesday, 2022-10-12

*** tpb <[email protected]> has joined #yosys00:00
*** zxrom <[email protected]> has quit IRC (*.net *.split)01:16
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has quit IRC (*.net *.split)01:16
*** indy <[email protected]> has quit IRC (*.net *.split)01:16
*** lofty <[email protected]> has quit IRC (*.net *.split)01:16
*** indy <[email protected]> has joined #yosys01:16
*** zxrom <[email protected]> has joined #yosys01:16
*** GenTooMan <GenTooMan!~cyberman@2601:547:437f:e5c6:21f:5bff:fefe:a883> has joined #yosys01:18
*** lofty <[email protected]> has joined #yosys01:19
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys01:49
*** pbsds <[email protected]> has quit IRC (Quit: The Lounge - https://thelounge.chat)03:04
*** pbsds <[email protected]> has joined #yosys03:05
*** shoragan <shoragan!~shoragan@user/shoragan> has quit IRC (Ping timeout: 246 seconds)05:05
*** zxrom <[email protected]> has quit IRC (Quit: Leaving)05:30
*** zxrom <[email protected]> has joined #yosys05:31
*** FabM <FabM!~FabM@2a03:d604:103:600:2e60:8c7c:e8fb:7990> has joined #yosys05:45
*** shoragan <shoragan!~shoragan@user/shoragan> has joined #yosys05:54
*** acathla <[email protected]> has joined #yosys06:24
*** anuejn <[email protected]> has quit IRC (*.net *.split)06:42
*** vup <[email protected]> has quit IRC (*.net *.split)06:42
*** josuah <[email protected]> has quit IRC (*.net *.split)06:42
*** nelgau <[email protected]> has quit IRC (*.net *.split)06:42
*** gordonDrogon <[email protected]> has quit IRC (*.net *.split)06:42
*** DoubleJ <DoubleJ!~DoubleJ@user/doublej> has quit IRC (*.net *.split)06:42
*** philtor <[email protected]> has quit IRC (*.net *.split)06:42
*** tlwoerner <[email protected]> has quit IRC (*.net *.split)06:42
*** rektide <[email protected]> has quit IRC (*.net *.split)06:42
*** mewt <[email protected]> has quit IRC (*.net *.split)06:42
*** mewt <[email protected]> has joined #yosys06:42
*** gordonDrogon <[email protected]> has joined #yosys06:43
*** tlwoerner <[email protected]> has joined #yosys06:43
*** josuah <[email protected]> has joined #yosys06:43
*** nelgau <[email protected]> has joined #yosys06:43
*** philtom <[email protected]> has joined #yosys06:44
*** anuejn <[email protected]> has joined #yosys06:45
*** vup <[email protected]> has joined #yosys06:45
*** rektide <[email protected]> has joined #yosys06:47
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:911:89b0:297a:3a9a:1c72> has quit IRC (Read error: Connection reset by peer)08:35
*** indy_ <[email protected]> has joined #yosys09:29
*** indy <[email protected]> has quit IRC (Ping timeout: 268 seconds)09:29
*** vup <[email protected]> has quit IRC (Quit: vup)11:06
*** vup <[email protected]> has joined #yosys11:07
*** zxrom <[email protected]> has quit IRC (Ping timeout: 250 seconds)11:31
*** zxrom <[email protected]> has joined #yosys11:35
*** zxrom <[email protected]> has quit IRC (Remote host closed the connection)11:36
*** zxrom <[email protected]> has joined #yosys11:36
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Remote host closed the connection)14:17
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys14:18
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 258 seconds)14:47
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys14:48
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:f593:47f7:89b8:71f> has joined #yosys15:03
mithrohttps://twitter.com/antonblanchard/status/1580154261962657792 - Introducing github.com/antonblanchard… - a generator of fast and efficient standard cell adders, multipliers and multiply-adders. Written in Amaranth HDL, formally verified with #Yosys. #Verilator verification too With @OpenROAD_EDA + ASAP7 7nm a 32bit 3 cycle multiplier hits 2.7GHz16:20
*** philtom is now known as philtor16:48
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 264 seconds)16:50
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 258 seconds)17:24
corecodenice19:11
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)19:19
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:02
*** nonchip <[email protected]> has joined #yosys22:02
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys22:34

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!