Monday, 2022-06-20

*** tpb <[email protected]> has joined #yosys00:00
*** _whitelogger <[email protected]> has quit IRC (*.net *.split)04:21
*** shoragan <shoragan!~shoragan@user/shoragan> has quit IRC (*.net *.split)04:21
*** smkz <smkz!~x@user/smkz> has quit IRC (*.net *.split)04:21
*** duck2 <[email protected]> has quit IRC (*.net *.split)04:21
*** shoragan <shoragan!~shoragan@user/shoragan> has joined #yosys04:22
*** _whitelogger <[email protected]> has joined #yosys04:22
*** duck2 <[email protected]> has joined #yosys04:22
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:c9e7:1eb4:69e9:b5f2> has quit IRC (*.net *.split)04:27
*** buhman <buhman!sid411355@user/buhman> has quit IRC (*.net *.split)04:27
*** acharles <acharles!~acharlesm@2001:470:69fc:105::188d> has quit IRC (*.net *.split)04:27
*** mathu_ <mathu_!~matt@user/mathu> has quit IRC (*.net *.split)04:27
*** svenn <[email protected]> has quit IRC (*.net *.split)04:27
*** killjoy <killjoy!~nameless@user/killjoy> has quit IRC (*.net *.split)04:27
*** smkz <smkz!~x@user/smkz> has joined #yosys04:27
*** buhman <buhman!sid411355@user/buhman> has joined #yosys04:27
*** mathu <mathu!~matt@user/mathu> has joined #yosys04:27
*** svenn <[email protected]> has joined #yosys04:27
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:4526:1b81:d974:dab2> has joined #yosys04:27
*** killjoy <[email protected]> has joined #yosys04:28
*** bluesceada <bluesceada!~dennis@user/bluesceada> has quit IRC (*.net *.split)04:30
*** benreynwar <[email protected]> has quit IRC (*.net *.split)04:30
*** Kamilion <[email protected]> has quit IRC (*.net *.split)04:30
*** sorear <[email protected]> has quit IRC (*.net *.split)04:30
*** benreynwar <[email protected]> has joined #yosys04:30
*** sorear <[email protected]> has joined #yosys04:30
*** Kamilion <[email protected]> has joined #yosys04:30
*** acharles <acharles!~acharlesm@2001:470:69fc:105::188d> has joined #yosys04:36
*** bluesceada <bluesceada!~dennis@user/bluesceada> has joined #yosys04:38
*** bwidawks <[email protected]> has joined #yosys04:50
*** chaoticryptidz_ <chaoticryptidz_!~quassel@2a01:4f9:c010:8beb::> has joined #yosys04:52
*** rektide_ <[email protected]> has joined #yosys04:52
*** bwidawsk <[email protected]> has quit IRC (Ping timeout: 272 seconds)04:53
*** agg <[email protected]> has quit IRC (Ping timeout: 272 seconds)04:53
*** rektide <[email protected]> has quit IRC (Ping timeout: 272 seconds)04:53
*** TD-Linux <TD-Linux!~Thomas@user/td-linux> has quit IRC (Ping timeout: 272 seconds)04:53
*** chaoticryptidz <chaoticryptidz!~quassel@2a01:4f9:c010:8beb::> has quit IRC (Ping timeout: 272 seconds)04:53
*** philtor <[email protected]> has quit IRC (Ping timeout: 272 seconds)04:53
*** TD-Linux <TD-Linux!~Thomas@user/td-linux> has joined #yosys04:56
*** philtor <[email protected]> has joined #yosys05:03
*** agg <[email protected]> has joined #yosys05:03
*** FabM <FabM!~FabM@2a03:d604:103:600:5cef:dd29:3504:2580> has joined #yosys05:28
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Remote host closed the connection)07:05
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys07:06
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (*.net *.split)07:07
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys07:09
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys07:26
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 268 seconds)07:28
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 240 seconds)07:35
*** FabM <FabM!~FabM@2a03:d604:103:600:63ec:2058:c1c1:37b8> has joined #yosys07:48
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 240 seconds)08:31
*** FabM <FabM!~FabM@2a03:d604:103:600:1356:9468:c7a0:bf5e> has joined #yosys08:50
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)09:02
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys09:03
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)09:11
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys09:12
ikskuhheya o/ is this the right channel to ask some questions about pico rv32?09:36
jix_ikskuh: yeah, I'm not aware of any channel specific to picorv3210:21
*** jix_ is now known as jix10:21
ikskuhah, thanks11:06
ikskuhi figured i'm probably yeeting my own cpu design from my project and replace it with the picorv11:07
ikskuhmostly because of compilers and general slowness of my isa11:07
ikskuhbut my system is designed for a 16 bit data bus right now, and i wonder if it's worth the change to blow up the data bus to 32 bit...11:08
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Read error: Connection reset by peer)11:14
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys11:17
acathlaHi. I have a design that is using 98% of the ICESTORM_LC of an iCE40up5K, and building fine ith yosys 0.15. With yosys 0.16 and later it takes 108% LC and, of course, fails. Where should I look to find the right option that would allow me to not get stuck to 0.15?11:39
tnt-no-rw-check11:40
*** tlwoerner <[email protected]> has joined #yosys11:47
jixNote that using that option (or older yosys versions) can result in a mismatch between synthesized behavior and simulation in certain cases. Probably fine on an existing working design, but good to be aware of11:54
jixYou can also use (* no_rw_check *) as attribute on memories, which makes it easier to only omit the extra logic in cases where you made sure that it doesn't make a difference11:59
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)12:32
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys12:33
acathlatnt, jix, thank you, that seems to work fine now !15:02
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 268 seconds)15:05
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys15:07
tntI'm still a bit unclear as to what logic yosys in inserting TBH ...15:21
tntI did a synht_ice40; write_verilog; to try and see but it's not making any sense to me.15:24
tntBut in any case just looking at it, I'm fairly certain it's not strictly correct either on ice40. Because a R/W conflict is defined there as a read and write to the same address for the underlying RAM4k module which is always 16 bit wide and not for the wrapper above it that allows 1/2/4/8/16 bits accesses.15:30
tnt(i.e. technically for a 8 bits wide ram, a read access at address 0 and a write to address 1 is a conflict.15:30
jixI haven't looked at the specifics at all, but even if a read access to address 0 and a write to address 1 conflict on the underlying primitive, in that case you get the same result independent of whether the primitve reads the new or the old value, because for the read byte they are the same, right?17:24
tntAh but the official sim model doesn't specify read-first or write-first behavior. It specifies xxxx as output in case of conflict.17:26
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (Ping timeout: 255 seconds)17:34
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Quit: ec)17:41
jixah, in that case that does seem like an issue to me17:46
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #yosys17:58
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Quit: Leaving)19:17
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has joined #yosys19:44
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 268 seconds)19:46
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 264 seconds)19:46
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys19:49
*** bwidawks is now known as bwidawsk20:03
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:02
*** nonchip <[email protected]> has joined #yosys22:02

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!