Friday, 2022-06-17

*** tpb <[email protected]> has joined #yosys00:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Quit: ec)00:11
* GenTooMan puts hay down for de horses??01:30
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys03:51
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys04:45
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Ping timeout: 248 seconds)07:03
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys07:04
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Quit: Connection reset by peep)07:47
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys08:24
bluesceadaHey can anyone briefly explain what is the exact overlap of abc vs. yosys? It seems abc alone can also do a lot what yosys can, right? And yosys itself typically just uses abc for a few of its features, such as logic optimization? Right?08:37
*** Duality <[email protected]> has joined #yosys08:51
Dualityhey08:51
Dualitydoes Yosys have VHDL support08:51
gatecatyeah, via the ghdl plugin08:52
gatecathttps://github.com/ghdl/ghdl-yosys-plugin08:52
DualityBut not on windows it seems 09:18
Dualitywas just curious09:19
lambdathe readme tells you how to build it on windows.11:02
Dualityyou are right I gave it a first glance and read Windows doesn't support dynamic loading and then just assumed 12:29
*** FabM <[email protected]> has joined #yosys13:05
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Remote host closed the connection)14:50
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 240 seconds)16:42
*** kraiskil <[email protected]> has joined #yosys18:07
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 256 seconds)19:19
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:02
*** nonchip <[email protected]> has joined #yosys22:02
*** tlwoerner <[email protected]> has quit IRC (Ping timeout: 255 seconds)22:43

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!