Monday, 2022-06-13

*** tpb <[email protected]> has joined #yosys00:00
*** TD--Linux is now known as TD-Linux03:53
*** FabM <FabM!~FabM@2a03:d604:103:600:a15a:6e9a:6e1c:21e7> has joined #yosys05:14
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:70d5:eb76:2992:cf78> has quit IRC (Read error: Connection reset by peer)05:24
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:60f8:783f:2e4a:cc42> has joined #yosys05:25
*** emeb_mac <[email protected]> has quit IRC (Quit: Leaving.)06:09
*** nak <nak!~nak@yosys/nak> has joined #yosys08:19
*** indy <[email protected]> has quit IRC (Ping timeout: 256 seconds)08:46
*** indy <[email protected]> has joined #yosys09:06
*** indy <[email protected]> has quit IRC (Quit: ZNC 1.8.2 - https://znc.in)09:11
*** indy <[email protected]> has joined #yosys09:17
*** indy <[email protected]> has quit IRC (Client Quit)09:22
*** indy <[email protected]> has joined #yosys09:22
*** nak <nak!~nak@yosys/nak> has quit IRC (Ping timeout: 260 seconds)10:30
ikskuhheya o/11:06
ikskuhstill hacking around with yosys/verilog and i'm wondering: how can i include a initialization for a ROM?11:06
ikskuhshould i just add a huge case() with all options? that doesn't really sound smort11:07
tntgoogle $readmemh11:08
ikskuhthx  :)11:08
ikskuhi kinda assumed readmemh would only run during simulation11:09
ikskuhand not for synthesis11:09
tntit works in synthesis (as long as it's done in a `initial` block of course, can't start doing it "dynamically" ...)11:10
ikskuhwell, that's obvious :D11:10
ikskuhbut perfect, thanks :)11:11
ikskuhfont rom, here i come!11:11
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 246 seconds)12:04
*** emeb_mac <[email protected]> has joined #yosys12:51
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Quit: ec)13:55
*** Lord_Nightmare <Lord_Nightmare!Lord_Night@user/lord-nightmare/x-3657113> has quit IRC (Quit: ZNC - http://znc.in)14:30
*** Lord_Nightmare <Lord_Nightmare!Lord_Night@user/lord-nightmare/x-3657113> has joined #yosys14:31
*** bpye <bpye!~bpye@user/bpye> has quit IRC (Quit: Ping timeout (120 seconds))14:32
*** bpye <bpye!~bpye@user/bpye> has joined #yosys14:32
*** josuah <[email protected]> has quit IRC (Read error: Connection reset by peer)14:55
*** josuah <[email protected]> has joined #yosys14:56
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys14:59
*** nak <nak!~nak@yosys/nak> has joined #yosys15:42
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Quit: WeeChat 2.3)18:16
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys18:17
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Quit: Leaving)18:26
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys19:45
*** nonchip <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:14
*** nonchip <[email protected]> has joined #yosys22:15
*** nonchip <[email protected]> has quit IRC (Client Quit)22:18
*** nonchip <[email protected]> has joined #yosys22:20
*** eigenform <[email protected]> has quit IRC (Ping timeout: 256 seconds)23:40
*** gruetzkopf <gruetzkopf!~quassel@wireguard/tunneler/gruetzkopf> has quit IRC (Ping timeout: 248 seconds)23:42
*** anuejn <[email protected]> has quit IRC (Ping timeout: 246 seconds)23:42
*** vup <[email protected]> has quit IRC (Ping timeout: 255 seconds)23:43
*** eigenform <[email protected]> has joined #yosys23:46
*** anuejn <[email protected]> has joined #yosys23:56
*** vup <[email protected]> has joined #yosys23:56
*** gruetzkopf <gruetzkopf!~quassel@wireguard/tunneler/gruetzkopf> has joined #yosys23:57

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!