Tuesday, 2022-05-03

*** tpb <[email protected]> has joined #yosys00:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Quit: ec)00:07
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:07
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Quit: ec)00:25
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:25
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys00:39
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Quit: ec)00:41
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys00:41
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 240 seconds)01:39
*** skipwich <skipwich!~skipwich@user/skipwich> has quit IRC (Quit: DISCONNECT)03:01
*** skipwich <skipwich!~skipwich@user/skipwich> has joined #yosys03:02
*** Lord_Nightmare <Lord_Nightmare!Lord_Night@user/lord-nightmare/x-3657113> has quit IRC (Quit: ZNC - http://znc.in)06:03
*** Lord_Nightmare <Lord_Nightmare!Lord_Night@user/lord-nightmare/x-3657113> has joined #yosys06:05
*** FabM <FabM!~FabM@2a03:d604:103:600:6d6e:ee2c:16a8:c06d> has joined #yosys06:29
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys07:03
*** emeb_mac <[email protected]> has quit IRC (Quit: Leaving.)07:06
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Remote host closed the connection)07:10
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys07:10
*** CounterPillow <CounterPillow!~Pillow@overviewer/CounterPillow> has joined #yosys09:00
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 240 seconds)09:31
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys09:40
*** nelgau_ <[email protected]> has joined #yosys10:32
*** nelgau <[email protected]> has quit IRC (Ping timeout: 250 seconds)10:33
*** lumo_e <[email protected]> has joined #yosys10:47
*** lumo_e <[email protected]> has quit IRC (Quit: Quit)12:38
*** emeb_mac <[email protected]> has joined #yosys12:47
*** srk- <srk-!~sorki@user/srk> has joined #yosys13:06
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 276 seconds)13:09
*** srk- is now known as srk13:09
*** srk- <srk-!~sorki@user/srk> has joined #yosys13:12
*** srk| <srk|!~sorki@user/srk> has joined #yosys13:13
*** srk^ <srk^!~sorki@user/srk> has joined #yosys13:16
*** srk <srk!~sorki@user/srk> has quit IRC (Ping timeout: 248 seconds)13:16
*** srk- <srk-!~sorki@user/srk> has quit IRC (Ping timeout: 276 seconds)13:17
*** srk| <srk|!~sorki@user/srk> has quit IRC (Ping timeout: 276 seconds)13:18
*** srk^ is now known as srk13:19
corecodeoh i didn't realize that yosys can synthesize for efinix fpgas13:58
*** lethalbit <lethalbit!~lethalbit@2001:19f0:7001:4da6:5400:1ff:fe66:6ca3> has quit IRC (Ping timeout: 240 seconds)14:18
*** DX-MON| <DX-MON|!~dx-mon@2001:19f0:7001:4da6:5400:1ff:fe66:6ca3> has joined #yosys14:19
*** DX-MON <[email protected]> has quit IRC (Read error: Connection reset by peer)14:20
*** lethalbit <lethalbit!~lethalbit@2001:19f0:7001:4da6:5400:1ff:fe66:6ca3> has joined #yosys14:22
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Quit: ec)15:17
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys15:17
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)15:45
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys15:46
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 240 seconds)16:02
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Quit: ec)16:25
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys16:27
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)17:02
rowang077[m]What are "SIOLOGIC" resources? 17:04
rowang077[m]I find I use quite a few of them in my design17:04
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys17:05
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has joined #yosys17:06
rowang077[m]Specifically for ECP5 17:09
rowang077[m]in the ECP5 high-speed IO manual I can find that there is a difference between IOLOGIC and SIOLOGIC17:09
rowang077[m]s/ECP5/ECP3/17:09
rowang077[m]But there is no mention of them in the same document for ECP517:10
gatecatSIOLOGIC are for the top/bottom sides, IOLOGIC are for the left/right sides17:16
gatecatno idea what 'S' stands for, you'd have to ask lattice :D17:16
gatecatthey'll be used for each pin that has a DDR I/O or a packed I/O register17:16
rowang077[m]Ah allright17:17
rowang077[m]so there is no (known) functional difference between IOLOGIC and SIOLOGIC? 17:17
rowang077[m]it's just different locations on the fabric17:17
gatecatthe IOLOGIC are more feature-complete17:17
gatecatbut which of the two are used depend on the IO pin you're using17:18
gatecatthe [S]IOLOGIC blocks are directly tied to a specific IO17:18
rowang077[m]Clear. Thx!17:19
rowang077[m]Do you now how I can understand why a asynchronous path is seen in nextpnr17:25
rowang077[m]From what I can tell in my code there shouldn't be an async path17:25
rowang077[m]I have uploaded the code here: https://gist.github.com/rowanG077/7b5c561c88c2e5a1873802fbd4716bbe17:30
rowang077[m]But it's generated so it's not to easily readable17:30
*** Xark <[email protected]> has joined #yosys17:41
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has quit IRC (Quit: Klotz)17:43
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Quit: Leaving)18:14
*** rektide <[email protected]> has quit IRC (Ping timeout: 248 seconds)18:19
*** rektide <[email protected]> has joined #yosys18:30
*** wlkO`Rety <wlkO`Rety!~japhy@user/mpasternacki> has quit IRC (Quit: leaving)18:30
*** lambda <[email protected]> has quit IRC (Quit: WeeChat 3.5)18:35
*** lambda <[email protected]> has joined #yosys18:36
gatecatI think it's something to do with how the DIRECTION pin on the iodelay has been implemented#18:43
gatecatinside nextpnr18:43
gatecatnot anything to worry about - tbh the async paths aren't that meaningful in general atm18:44
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:50
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys19:53
*** lumo_e <[email protected]> has joined #yosys21:59
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)22:10
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys22:12
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:09
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys23:12

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!