Monday, 2022-03-21

*** tpb <[email protected]> has joined #yosys00:00
*** X-Scale` <X-Scale`[email protected]> has joined #yosys00:59
*** X-Scale <[email protected]> has quit IRC (Ping timeout: 240 seconds)01:00
*** X-Scale` is now known as X-Scale01:00
*** bl0x <bl0x!~bastii@p200300d7a7270a006a6d3bafd22b1305.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 240 seconds)02:27
*** bl0x <bl0x!~bastii@p200300d7a7122500362f2db66ff92533.dip0.t-ipconnect.de> has joined #yosys02:29
*** Forty-Bot <[email protected]> has quit IRC (Ping timeout: 256 seconds)05:54
*** Forty-Bot <[email protected]> has joined #yosys06:08
*** emeb_mac <[email protected]> has quit IRC (Ping timeout: 250 seconds)06:27
*** killjoy <killjoy!~nameless@user/killjoy> has quit IRC (Ping timeout: 252 seconds)06:37
*** FabM <FabM!~FabM@2a03:d604:103:600:187b:f8dc:7ca1:4288> has joined #yosys07:15
corecodewouldn't you still have hold violations if your clock enable goes low after one 48MHz cycle?09:05
corecodemaybe you can use the output of a counter as a clock net and mark it as 16MHz?09:06
tntcr1901: no, nextpnr doesn't support that.09:07
corecodehi tnt09:09
tntcr1901: I assume 48M is for usb ?09:09
tntcorecode: o/09:09
tntcr1901: other option are (1) use 48 MHz from the HFOSC  or (2) have the pll output both 96MHz and 48MHz and then use a couple of FF inside the FPGA to divide 96MHz into 16MHz (which won't be 50% duty cycle but doesn't matter)09:12
corecodetnt: should be fairly close to 50% tho?09:15
tntOh yeah right if you go from 96M it will be, I was still thinking of going direct 48M -> 16M.09:19
*** MoeIcenowy <MoeIcenowy!~MoeIcenow@2604:a880:2:d1::1d1:f001> has quit IRC (Quit: ZNC 1.7.2+deb3 - https://znc.in)10:09
*** nak_ is now known as nak10:38
*** jix_ is now known as jix11:42
*** MoeIcenowy <MoeIcenowy!~MoeIcenow@2604:a880:2:d1::1d1:f001> has joined #yosys11:49
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Quit: ec)13:06
cr1901tnt: Why generate 96 as well as 48?13:07
cr1901corecode: I can't think offhand of a simple example why there would be a hold violation; do you have an example in mind?13:09
cr1901I _hate_ generating a clock from fabric like that, but if I don't have a choice I don't have a choice13:10
tntcr1901: huh, just if you want 50% duty cycle, yo ucan't start from 48 because dividing by 3 is not an even number.13:15
tntcr1901: And yeah, I hate it too, but on the up5k with a single not so flexible PLL, I had to use it as a last resort a few times.13:16
tntI make sure not to assume any kind of alignement and manually create a global buffer for it.13:16
tntcr1901: what's the application ?13:17
cr1901Secret for now, mainly because I don't want to commit to anything rn :P, but the 48MHz domain isn't for USB actually13:18
cr1901well, at least not exclusively13:18
cr1901I need a fast domain to emulate a latch13:18
*** duck23 is now known as duck214:26
*** shoragan <shoragan!~shoragan@user/shoragan> has quit IRC (Ping timeout: 256 seconds)15:17
*** shoragan <shoragan!~shoragan@user/shoragan> has joined #yosys15:17
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys15:22
*** FL4SHK <[email protected]> has quit IRC (Ping timeout: 268 seconds)15:58
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has joined #yosys17:35
*** skipwich <skipwich!~skipwich@user/skipwich> has quit IRC (Ping timeout: 256 seconds)18:11
*** skipwich <skipwich!~skipwich@user/skipwich> has joined #yosys18:19
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys18:30
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)18:31
*** skipwich <skipwich!~skipwich@user/skipwich> has quit IRC (Ping timeout: 240 seconds)18:46
*** skipwich <skipwich!~skipwich@user/skipwich> has joined #yosys18:48
*** skipwich <skipwich!~skipwich@user/skipwich> has quit IRC (Ping timeout: 245 seconds)18:54
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)19:18
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #yosys19:42
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 252 seconds)20:13
*** emeb_mac <[email protected]> has joined #yosys21:11
*** FL4SHK <[email protected]> has joined #yosys21:20
*** ec_ is now known as ec21:42
*** FL4SHK <[email protected]> has quit IRC (Ping timeout: 256 seconds)21:50
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:e93d:5782:8b1c:7d32> has quit IRC (Remote host closed the connection)22:21
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:25de:da55:839f:fde3> has joined #yosys22:22
*** killjoy <[email protected]> has joined #yosys22:23
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:25de:da55:839f:fde3> has quit IRC (Remote host closed the connection)22:24
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:25de:da55:839f:fde3> has joined #yosys22:24
*** killjoy <killjoy!~nameless@user/killjoy> has quit IRC (Ping timeout: 240 seconds)22:28
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:25de:da55:839f:fde3> has quit IRC (Read error: Connection reset by peer)23:03
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:25de:da55:839f:fde3> has joined #yosys23:03
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:25de:da55:839f:fde3> has quit IRC (Read error: Connection reset by peer)23:28
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:854c:f8dc:9b0:6f78> has joined #yosys23:29

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!