Friday, 2022-03-18

*** tpb <[email protected]> has joined #yosys00:00
*** duck2 <[email protected]> has quit IRC (Quit: Ping timeout (120 seconds))00:21
*** duck2 <[email protected]> has joined #yosys00:28
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:911:a050:dd5c:be2b:f6f2> has joined #yosys01:22
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:a050:dd5c:be2b:f6f2> has quit IRC (Ping timeout: 252 seconds)01:24
*** bl0x <bl0x!~bastii@p200300d7a71e3e00ef9d28888cca0c7e.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 252 seconds)02:31
*** bl0x <bl0x!~bastii@p200300d7a70e0000a7f54ba261214ba8.dip0.t-ipconnect.de> has joined #yosys02:33
*** emeb_mac <[email protected]> has joined #yosys03:28
*** cr1901_ is now known as cr190105:05
*** emeb_mac <[email protected]> has quit IRC (Quit: Leaving.)07:00
*** FabM <FabM!~FabM@2a03:d604:103:600:70b7:480f:54e9:3382> has joined #yosys07:23
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 252 seconds)07:38
*** FabM <FabM!~FabM@2a03:d604:103:600:e875:5beb:f768:e4e> has joined #yosys07:51
acathlagatecat, what makes you think that about fpga vendors? They seem to spend a lot of money on their *#!?$* software, that's just to sell hardware?09:47
tntacathla: I know at least when I was working for a company doing ip cores, Xilinx was just giving us licenses for free ...10:03
acathlaThat's a strange strategy...10:07
*** lumo_e <[email protected]> has joined #yosys10:18
*** kbeckmann <[email protected]> has quit IRC (Remote host closed the connection)10:21
*** kbeckmann <[email protected]> has joined #yosys10:21
Sarayanacathla: without a toolchain they couldn't sell the hardware in the first place10:43
*** Lord_Nightmare <Lord_Nightmare!znc@user/lord-nightmare/x-3657113> has quit IRC (Quit: ZNC - http://znc.in)10:52
Sarayanthey couldn't test their hardware either10:53
Sarayanand we don't really have the gcc/llvm of fpgas yet10:53
Sarayan(not sure if we'll ever have it)10:53
*** Lord_Nightmare <Lord_Nightmare!znc@user/lord-nightmare/x-3657113> has joined #yosys10:56
*** adjtm_ <[email protected]> has quit IRC (Remote host closed the connection)12:24
*** shoragan <shoragan!~shoragan@user/shoragan> has quit IRC (Ping timeout: 256 seconds)12:24
*** adjtm_ <[email protected]> has joined #yosys12:24
*** shoragan <shoragan!~shoragan@user/shoragan> has joined #yosys12:25
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:911:8593:dd28:815f:57dc> has joined #yosys12:51
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:a050:dd5c:be2b:f6f2> has quit IRC (Ping timeout: 240 seconds)12:55
acathlaI understand the need for a toolchain, but why is it so expensive? You can't use it with vivado with a Lattice FPGA.13:21
tntacathla: it appears expensive to you because you're not the target market :)13:30
tntI mean, if you take an engineer costing 100k$ a year to his employer, 5k more for the license he'll use everyday isn't too bad.13:32
tntthe license is also only needed for the build so you can often do away with a single floating one for a whole team since they're not going to do builds all day.13:34
tnt(at least where I worked, most stuff was done by sim, only going to hw as the very last steps)13:34
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys13:35
Sarayanyou don't need to license for ip instanciation and sim too?13:36
tntYou'll only need the license when doing the actual build of the IP, not when configuring it.13:36
tntFor sim, good question ... I must admit we were using modelsim which is licensed independently.13:37
tntI mean, you can use the webpack license for sim AFAIK and I don't think the 'enterprise' version has any advantage, but ... to be checked, I'm not 100% on that one.13:38
acathlaI'm clearly not the target market =)13:45
tntThe free version does support quite a few devices though.13:46
tntThe ones it doesn't are quite high end and "hobbyist" pretty much only get them second-hand I think.13:46
*** knielsen <[email protected]> has quit IRC (Ping timeout: 250 seconds)14:22
*** Knarfian_____ <[email protected]> has quit IRC (Read error: Connection reset by peer)14:25
*** Knarfian_____ <[email protected]> has joined #yosys14:25
*** mobius <mobius!sid478273@2a03:5180:f:5::7:4c41> has quit IRC (Ping timeout: 250 seconds)14:25
*** sorear <sorear!sid184231@2a03:5180:f:5::2:cfa7> has quit IRC (Ping timeout: 250 seconds)14:25
*** mobius <[email protected]> has joined #yosys14:26
*** sorear <[email protected]> has joined #yosys14:26
*** knielsen <[email protected]> has joined #yosys14:35
*** X-Scale <[email protected]> has quit IRC (Ping timeout: 240 seconds)15:39
*** X-Scale <[email protected]> has joined #yosys15:41
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has joined #yosys16:09
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 256 seconds)16:11
*** lumo_e <[email protected]> has quit IRC (Ping timeout: 240 seconds)16:37
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Remote host closed the connection)17:26
*** emeb <[email protected]> has joined #yosys19:04
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has joined #yosys19:17
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has joined #yosys19:56
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)20:05
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #yosys20:07
*** emeb_mac <[email protected]> has joined #yosys20:44
*** kraiskil <kraiskil!~kraiskil@10.121.104.92.dynamic.wline.res.cust.swisscom.ch> has quit IRC (Remote host closed the connection)20:51
*** emeb_mac <[email protected]> has quit IRC (Quit: Leaving.)21:22
*** lumo_e <[email protected]> has joined #yosys22:55
*** Klotz <Klotz!~Klotzoman@gateway/tor-sasl/klotz> has quit IRC (Quit: Klotz)23:00
*** Lord_Nightmare <Lord_Nightmare!znc@user/lord-nightmare/x-3657113> has quit IRC (Quit: ZNC - http://znc.in)23:10
*** emeb_mac <[email protected]> has joined #yosys23:12
*** Lord_Nightmare <Lord_Nightmare!znc@user/lord-nightmare/x-3657113> has joined #yosys23:13
*** emeb <[email protected]> has quit IRC (Quit: Leaving.)23:14

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!