Monday, 2022-01-10

*** tpb <[email protected]> has joined #yosys00:00
*** uis <[email protected]> has quit IRC (Quit: ZNC 1.7.5 - https://znc.in)00:16
*** uis <[email protected]> has joined #yosys00:16
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys00:58
*** uis <[email protected]> has quit IRC (Quit: ZNC 1.7.5 - https://znc.in)01:01
*** uis <[email protected]> has joined #yosys01:01
*** bl0x_ <bl0x_!~bastii@p200300d7a72957006f35d52770c3fcfb.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 240 seconds)02:33
*** bl0x_ <bl0x_!~bastii@p200300d7a70f87000ecbaf1d87ec93f0.dip0.t-ipconnect.de> has joined #yosys02:35
*** nelgau <[email protected]> has quit IRC (Remote host closed the connection)03:34
*** nelgau <[email protected]> has joined #yosys03:50
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has joined #yosys04:51
*** nelgau <[email protected]> has quit IRC (Remote host closed the connection)05:16
*** lumo_e <[email protected]> has quit IRC (Quit: Quit)05:19
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has quit IRC (Quit: Leaving)05:54
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:11ef:719a:393d:292f> has quit IRC (Remote host closed the connection)06:15
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:6ce3:c0c5:783e:b45a> has joined #yosys06:16
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has joined #yosys06:21
*** _whitelogger <[email protected]> has quit IRC (Remote host closed the connection)06:57
*** _whitelogger <[email protected]> has joined #yosys06:57
*** nelgau <[email protected]> has joined #yosys06:59
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Read error: Connection reset by peer)07:01
*** _whitelogger <[email protected]> has quit IRC (Ping timeout: 240 seconds)07:04
*** kristianpaul <[email protected]> has joined #yosys07:06
*** _whitelogger <[email protected]> has joined #yosys07:12
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has quit IRC (Quit: Leaving)07:32
*** FabM <FabM!~FabM@2a03:d604:103:600:7bca:8597:f8c:dc93> has joined #yosys07:55
*** nelgau <[email protected]> has quit IRC (*.net *.split)08:09
*** bl0x_ <bl0x_!~bastii@p200300d7a70f87000ecbaf1d87ec93f0.dip0.t-ipconnect.de> has quit IRC (*.net *.split)08:09
*** uis <[email protected]> has quit IRC (*.net *.split)08:09
*** srk <srk!~sorki@user/srk> has quit IRC (*.net *.split)08:09
*** ikskuh <[email protected]> has quit IRC (*.net *.split)08:09
*** lexano <[email protected]> has quit IRC (*.net *.split)08:09
*** smkz <smkz!~x@user/smkz> has quit IRC (*.net *.split)08:09
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (*.net *.split)08:09
*** jryans <jryans!~jryans@2001:470:69fc:105::1d> has quit IRC (*.net *.split)08:09
*** pepijndevos[m] <pepijndevos[m]!~pepijndev@2001:470:69fc:105::b6a8> has quit IRC (*.net *.split)08:09
*** duck2 <[email protected]> has quit IRC (*.net *.split)08:09
*** mwk <mwk!~mwk@yosys/mwk> has quit IRC (*.net *.split)08:09
*** ecs <ecs!~ecs@user/ecs> has quit IRC (*.net *.split)08:09
*** tucanae47_ <[email protected]> has quit IRC (*.net *.split)08:09
*** mobius <[email protected]> has quit IRC (*.net *.split)08:09
*** esden <[email protected]> has quit IRC (*.net *.split)08:09
*** svenn <[email protected]> has quit IRC (*.net *.split)08:09
*** CarlosEDP <CarlosEDP!~carlosedp@2001:470:69fc:105::218e> has quit IRC (*.net *.split)08:09
*** sadoon_albader[m <sadoon_albader[m!~sadoonalb@2001:470:69fc:105::d188> has quit IRC (*.net *.split)08:09
*** chaoticryptidz <chaoticryptidz!~quassel@2a01:4f9:c010:8beb::> has quit IRC (*.net *.split)08:09
*** philtor <[email protected]> has quit IRC (*.net *.split)08:09
*** buhman <buhman!sid411355@user/buhman> has quit IRC (*.net *.split)08:09
*** TD-Linux <TD-Linux!~Thomas@user/td-linux> has quit IRC (*.net *.split)08:09
*** mithro <[email protected]> has quit IRC (*.net *.split)08:09
*** jix <jix!~jix@user/jix> has quit IRC (*.net *.split)08:09
*** killjoy <killjoy!~nameless@user/killjoy> has quit IRC (*.net *.split)08:09
*** tmiw <[email protected]> has quit IRC (*.net *.split)08:09
*** corecode <[email protected]> has quit IRC (*.net *.split)08:09
*** tnt <tnt!~tnt@osmocom/tnt> has quit IRC (*.net *.split)08:09
*** ZipCPU <[email protected]> has quit IRC (*.net *.split)08:09
*** lofty <[email protected]> has quit IRC (*.net *.split)08:09
*** bluesceada <[email protected]> has quit IRC (*.net *.split)08:09
*** lkcl <[email protected]> has quit IRC (*.net *.split)08:09
*** levalicious[m] <levalicious[m]!~levalicio@2001:470:69fc:105::1:3264> has quit IRC (*.net *.split)08:09
*** promach[m] <promach[m]!~promach@2001:470:69fc:105::ca1> has quit IRC (*.net *.split)08:09
*** Knarfian_____ <[email protected]> has quit IRC (*.net *.split)08:09
*** Stary <Stary!~Stary@hacksoc/infrastructure> has quit IRC (*.net *.split)08:09
*** dys <dys!~dys@user/dys> has quit IRC (*.net *.split)08:09
*** mewt <[email protected]> has quit IRC (*.net *.split)08:09
*** lethalbit <lethalbit!~lethalbit@2001:19f0:7001:4da6:5400:1ff:fe66:6ca3> has quit IRC (*.net *.split)08:09
*** jevinskie[m] <jevinskie[m]!~jevinskie@2001:470:69fc:105::bb3> has quit IRC (*.net *.split)08:09
*** xiretza[m] <xiretza[m]!~xiretzaxi@2001:470:69fc:105::9b1> has quit IRC (*.net *.split)08:09
*** lambda <lambda!~weechat@2a01:4f8:c010:8b24::1> has quit IRC (*.net *.split)08:09
*** koorogi1 <koorogi1!koorogi@user/koorogi> has quit IRC (*.net *.split)08:09
*** piegames1 <[email protected]> has quit IRC (*.net *.split)08:09
*** eigenform <[email protected]> has quit IRC (*.net *.split)08:09
*** vup <[email protected]> has quit IRC (*.net *.split)08:09
*** anuejn <[email protected]> has quit IRC (*.net *.split)08:09
*** vancz <vancz!~vancz@user/vancz> has quit IRC (*.net *.split)08:09
*** shoragan <shoragan!~shoragan@user/shoragan> has quit IRC (*.net *.split)08:09
*** Sarayan <Sarayan!~galibert@2a01:e0a:1d7:77e0:beae:c5ff:fee3:518f> has quit IRC (*.net *.split)08:09
*** kbeckmann <[email protected]> has quit IRC (*.net *.split)08:09
*** sorear <[email protected]> has quit IRC (*.net *.split)08:09
*** agg <[email protected]> has quit IRC (*.net *.split)08:09
*** modwizcode <modwizcode!~modwizcod@2001:470:69fc:105::1:4bec> has quit IRC (*.net *.split)08:09
*** emilazy <emilazy!~emilazy@user/emilazy> has quit IRC (*.net *.split)08:09
*** gatecat <[email protected]> has quit IRC (*.net *.split)08:09
*** stefanct <stefanct!ameno@user/stefanct> has quit IRC (*.net *.split)08:09
*** Kamilion <Kamilion!kamilion@user/kamilion> has quit IRC (*.net *.split)08:09
*** whitequark <whitequark!~whitequar@2001:470:69fc:105::37> has quit IRC (*.net *.split)08:09
*** diadatp <diadatp!~diadatp@2001:470:69fc:105::c603> has quit IRC (*.net *.split)08:09
*** somlo <[email protected]> has quit IRC (*.net *.split)08:09
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC (*.net *.split)08:09
*** knielsen <[email protected]> has quit IRC (*.net *.split)08:09
*** vidbina <vidbina!~vid@2a02:3030:40c:d170:f7ec:9a69:117f:454a> has joined #yosys08:14
*** nelgau <[email protected]> has joined #yosys08:21
*** bl0x_ <bl0x_!~bastii@p200300d7a70f87000ecbaf1d87ec93f0.dip0.t-ipconnect.de> has joined #yosys08:21
*** uis <[email protected]> has joined #yosys08:21
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys08:21
*** srk <srk!~sorki@user/srk> has joined #yosys08:21
*** ikskuh <[email protected]> has joined #yosys08:21
*** lexano <[email protected]> has joined #yosys08:21
*** tnt <tnt!~tnt@osmocom/tnt> has joined #yosys08:21
*** jevinskie[m] <jevinskie[m]!~jevinskie@2001:470:69fc:105::bb3> has joined #yosys08:21
*** ZipCPU <[email protected]> has joined #yosys08:21
*** CarlosEDP <CarlosEDP!~carlosedp@2001:470:69fc:105::218e> has joined #yosys08:21
*** whitequark <whitequark!~whitequar@2001:470:69fc:105::37> has joined #yosys08:21
*** modwizcode <modwizcode!~modwizcod@2001:470:69fc:105::1:4bec> has joined #yosys08:21
*** diadatp <diadatp!~diadatp@2001:470:69fc:105::c603> has joined #yosys08:21
*** levalicious[m] <levalicious[m]!~levalicio@2001:470:69fc:105::1:3264> has joined #yosys08:21
*** sadoon_albader[m <sadoon_albader[m!~sadoonalb@2001:470:69fc:105::d188> has joined #yosys08:21
*** jryans <jryans!~jryans@2001:470:69fc:105::1d> has joined #yosys08:21
*** promach[m] <promach[m]!~promach@2001:470:69fc:105::ca1> has joined #yosys08:21
*** emilazy <emilazy!~emilazy@user/emilazy> has joined #yosys08:21
*** xiretza[m] <xiretza[m]!~xiretzaxi@2001:470:69fc:105::9b1> has joined #yosys08:21
*** pepijndevos[m] <pepijndevos[m]!~pepijndev@2001:470:69fc:105::b6a8> has joined #yosys08:21
*** lkcl <[email protected]> has joined #yosys08:21
*** bluesceada <[email protected]> has joined #yosys08:21
*** lofty <[email protected]> has joined #yosys08:21
*** svenn <[email protected]> has joined #yosys08:21
*** mobius <[email protected]> has joined #yosys08:21
*** tucanae47_ <[email protected]> has joined #yosys08:21
*** esden <[email protected]> has joined #yosys08:21
*** ecs <ecs!~ecs@user/ecs> has joined #yosys08:21
*** mwk <mwk!~mwk@yosys/mwk> has joined #yosys08:21
*** duck2 <[email protected]> has joined #yosys08:21
*** corecode <[email protected]> has joined #yosys08:21
*** tmiw <[email protected]> has joined #yosys08:21
*** killjoy <killjoy!~nameless@user/killjoy> has joined #yosys08:21
*** jix <jix!~jix@user/jix> has joined #yosys08:21
*** mithro <[email protected]> has joined #yosys08:21
*** TD-Linux <TD-Linux!~Thomas@user/td-linux> has joined #yosys08:21
*** buhman <buhman!sid411355@user/buhman> has joined #yosys08:21
*** philtor <[email protected]> has joined #yosys08:21
*** chaoticryptidz <chaoticryptidz!~quassel@2a01:4f9:c010:8beb::> has joined #yosys08:21
*** lethalbit <lethalbit!~lethalbit@2001:19f0:7001:4da6:5400:1ff:fe66:6ca3> has joined #yosys08:21
*** mewt <[email protected]> has joined #yosys08:21
*** dys <dys!~dys@user/dys> has joined #yosys08:21
*** Stary <Stary!~Stary@hacksoc/infrastructure> has joined #yosys08:21
*** Knarfian_____ <[email protected]> has joined #yosys08:21
*** shoragan <shoragan!~shoragan@user/shoragan> has joined #yosys08:21
*** vancz <vancz!~vancz@user/vancz> has joined #yosys08:21
*** anuejn <[email protected]> has joined #yosys08:21
*** vup <[email protected]> has joined #yosys08:21
*** eigenform <[email protected]> has joined #yosys08:21
*** piegames1 <[email protected]> has joined #yosys08:21
*** koorogi1 <koorogi1!koorogi@user/koorogi> has joined #yosys08:21
*** lambda <lambda!~weechat@2a01:4f8:c010:8b24::1> has joined #yosys08:21
*** Kamilion <Kamilion!kamilion@user/kamilion> has joined #yosys08:21
*** stefanct <stefanct!ameno@user/stefanct> has joined #yosys08:21
*** gatecat <[email protected]> has joined #yosys08:21
*** agg <[email protected]> has joined #yosys08:21
*** sorear <[email protected]> has joined #yosys08:21
*** kbeckmann <[email protected]> has joined #yosys08:21
*** Sarayan <Sarayan!~galibert@2a01:e0a:1d7:77e0:beae:c5ff:fee3:518f> has joined #yosys08:21
*** knielsen <[email protected]> has joined #yosys08:21
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #yosys08:21
*** somlo <[email protected]> has joined #yosys08:21
*** smkz <smkz!~x@user/smkz> has joined #yosys08:21
*** vidbina <vidbina!~vid@2a02:3030:40c:d170:f7ec:9a69:117f:454a> has quit IRC (Ping timeout: 240 seconds)08:37
*** vidbina <vidbina!~vid@2a02:3030:40c:d170:f7ec:9a69:117f:454a> has joined #yosys08:39
*** vidbina <vidbina!~vid@2a02:3030:40c:d170:f7ec:9a69:117f:454a> has quit IRC (Ping timeout: 268 seconds)08:44
*** vidbina <[email protected]> has joined #yosys08:46
*** nelgau <[email protected]> has quit IRC (Remote host closed the connection)09:01
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has joined #yosys09:07
*** gmc_ <[email protected]> has joined #yosys09:33
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 276 seconds)10:40
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys10:41
*** vidbina <[email protected]> has quit IRC (Ping timeout: 256 seconds)11:05
sagar_acharyaFolks I have this really basic doubt11:07
sagar_acharyaassign vga_clk = clk_div[1];11:08
sagar_acharyareg[1:0]clk_div;11:08
sagar_acharyawirevga_clk;11:08
sagar_acharyaIn above statements. vga_clk will have what time period?11:09
ikskuhyou increment clk_div every clk?11:09
sagar_acharyaYes, on every posedge11:09
ikskuhi guess 1/4 clk11:09
sagar_acharyaIt's not my code. I'm just trying to understand11:09
sagar_acharyaHow?11:09
sagar_acharyaShouldn't it be 1/211:09
ikskuhno11:10
sagar_acharyaSince we have 00, 01, 10, and 11.11:10
ikskuhincrementing on every clk means that you toggle clk_div[0] on every clk11:10
ikskuhthus, clk_div[0] has 1/2 freq of clk11:10
sagar_acharyaSo clk_div[1] should be 0 0 and then 1 1 right11:10
sagar_acharyaaah alright11:10
sagar_acharyaikskuh: Thanks11:12
*** vidbina <[email protected]> has joined #yosys11:16
*** vidbina <[email protected]> has quit IRC (Ping timeout: 240 seconds)11:20
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has quit IRC (Read error: Connection reset by peer)11:37
*** vidbina <vidbina!~vid@2a02:3030:40c:d170:f7ec:9a69:117f:454a> has joined #yosys11:39
*** vidbina <vidbina!~vid@2a02:3030:40c:d170:f7ec:9a69:117f:454a> has quit IRC (Ping timeout: 240 seconds)11:47
*** vidbina <vidbina!~vid@2a02:3030:40c:d170:f7ec:9a69:117f:454a> has joined #yosys11:48
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has joined #yosys11:52
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has quit IRC (Client Quit)11:54
*** chaoticryptidz <chaoticryptidz!~quassel@2a01:4f9:c010:8beb::> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)12:10
*** chaoticryptidz <chaoticryptidz!~quassel@2a01:4f9:c010:8beb::> has joined #yosys12:10
*** uis <[email protected]> has quit IRC (Quit: ZNC 1.7.5 - https://znc.in)12:11
*** uis <[email protected]> has joined #yosys12:11
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has joined #yosys12:47
*** uis <[email protected]> has quit IRC (Quit: ZNC 1.7.5 - https://znc.in)12:51
*** uis <[email protected]> has joined #yosys12:51
*** AdamHord- is now known as AdamHorden13:24
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has quit IRC (Quit: Leaving)14:01
*** uis <[email protected]> has quit IRC (Quit: ZNC 1.7.5 - https://znc.in)15:21
*** uis <[email protected]> has joined #yosys15:21
*** uis <[email protected]> has quit IRC (Quit: ZNC 1.7.5 - https://znc.in)15:29
*** uis <[email protected]> has joined #yosys15:29
*** uis <[email protected]> has quit IRC (Quit: ZNC 1.7.5 - https://znc.in)15:37
*** uis <[email protected]> has joined #yosys15:38
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 276 seconds)15:42
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 240 seconds)15:43
*** FabM <FabM!~FabM@2a03:d604:103:600:a93a:c12a:33bc:b0d3> has joined #yosys15:57
*** adjtm <[email protected]> has joined #yosys16:28
*** gsmecher <[email protected]> has joined #yosys16:53
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has joined #yosys17:06
sagar_acharyaI see there's some syntax like17:29
sagar_acharyamodule top #()();17:30
sagar_acharyaThere are parameters within first brackets17:30
sagar_acharyaWhat's that?17:30
sagar_acharyaIs it some convention17:30
sagar_acharyaAlso, does yosys synthesize initial blocks?17:32
sagar_acharyaI learnt in 1 course that synthesis tools don't support initial.17:32
*** vidbina <vidbina!~vid@2a02:3030:40c:d170:f7ec:9a69:117f:454a> has quit IRC (Ping timeout: 240 seconds)17:50
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has quit IRC (Quit: Leaving)17:58
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has joined #yosys18:16
sagar_acharyaCan someone please explain what picosoc is?18:19
sagar_acharyawrt picorv32 processor implemented.18:20
xiretza[m]does the readme help? https://github.com/YosysHQ/picorv32/tree/master/picosoc#readme18:20
sagar_acharyaI did read that. I'm a newbie so I still don't get it.18:22
sagar_acharyaI understand how rv32 is implemented18:22
sagar_acharyaI don't get what they mean by soc.18:22
sagar_acharya>It can be used as a turn-key solution for simple control tasks in18:22
sagar_acharyaASIC and FPGA designs.18:22
mwksagar_acharya: yosys does indeed recognize and support initial blocks18:22
mwkspecifically ones that initialize registers and memories18:22
sagar_acharyamwk: Thanks18:23
mwkabout synthesis tools not supporting initial: that's generally a property of ASIC synthesis tools; FPGA tools tend to support them18:23
mwkbecause in ASICs you don't really get to pick the starting state of the registers18:24
sagar_acharyaAah yes, true.18:24
xiretza[m]picorv32 is purely a processor core, it can't do anything on its own because it doesn't even have anywhere to store instructions - so you attach some peripherals to it (at least some memory and some kind of I/O), and call it a soc18:24
mwkit's not a hard rule; for example the sf2 target in yosys doesn't support initial, due to lack of such capability in hardware18:25
mwkand as for soc18:26
mwkit means "system on chip"18:26
mwkie. cpu core + whatever peripherials you need to communicate with outside world18:26
mwkusually written as SoC18:27
sagar_acharyaFor now, I need to implement, vga, ps2, a simple shell and rv32.18:27
mwkSoC can be anything from something simple like picosoc to something very complex like the ARM chips found in smartphones18:27
sagar_acharyaSo will vga and ps2 verilog modules + picosoc do such a thing?18:28
sagar_acharyaI was thinking some shell program compiled from C for rv32 should work. I have no idea though.18:28
mwkdoing homework, aren't we18:28
sagar_acharyamwk: meaning?18:28
mwkpicosoc + vga + ps2 can make for a usable system, as far as hardware goes18:29
mwksoftware stack is another thing18:29
sagar_acharyaI mean if I compile some shell program from C, it could be run on rv32 and displayed on screen right?18:30
sagar_acharyaI want a very old OS type thing like18:30
sagar_acharyashell -> program A -> shell18:30
sagar_acharyashell -> program B -> shell18:30
xiretza[m]you'll at least have to write some drivers for your VGA and PS2 peripherals18:31
mwkif you want an OS, you have to write or otherwise obtain an OS18:31
sagar_acharyaYes, I have taken them from another code, xiretza18:31
sagar_acharyaNaah, those OSes are out of the world complex.18:31
sagar_acharyaI need just shell display and a couple cryptography programs.18:32
sagar_acharya1st thing is just shell for now.18:32
sagar_acharyashell with echo program18:32
*** vidbina <[email protected]> has joined #yosys18:33
sagar_acharyaok, I'll start with vga + ps2 + picosoc then. Thanks folks!18:34
sagar_acharyamwk and xiretza!18:35
*** sagar_acharya <sagar_acharya!~sagar_ach@2405:201:f:1db9:4a96:8154:92bb:7691> has quit IRC (Quit: Leaving)18:47
*** nelgau <[email protected]> has joined #yosys19:25
*** vidbina <[email protected]> has quit IRC (Ping timeout: 256 seconds)19:29
*** vidbina <[email protected]> has joined #yosys20:53
*** vidbina <[email protected]> has quit IRC (Client Quit)20:54
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 268 seconds)20:55
*** vidbina <[email protected]> has joined #yosys20:56
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Quit: WeeChat 3.4)20:58
*** kristianpaul <[email protected]> has joined #yosys20:59
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Client Quit)20:59
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys21:03
*** nelgau <[email protected]> has quit IRC (Remote host closed the connection)21:49
*** nelgau <[email protected]> has joined #yosys21:50
*** nelgau <[email protected]> has quit IRC (Remote host closed the connection)23:32

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!