Thursday, 2021-12-30

*** tpb <[email protected]> has joined #yosys00:00
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has joined #yosys01:54
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has quit IRC (Ping timeout: 240 seconds)01:59
*** freemint__ <freemint__!~freemint@2001:638:904:ffe8:2abf:c8f8:8aa3:41d7> has quit IRC (Ping timeout: 240 seconds)02:14
*** bl0x <bl0x!~bastii@p200300d7a710e600dcb87a6b2ea55656.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 240 seconds)02:46
*** bl0x <bl0x!~bastii@p200300d7a71927008b05337c56dc560d.dip0.t-ipconnect.de> has joined #yosys02:48
*** Guest6167 <Guest6167!~Guest61@2400:dd01:1034:400:da:9956:a618:19b7> has joined #yosys05:31
*** Guest6167 <Guest6167!~Guest61@2400:dd01:1034:400:da:9956:a618:19b7> has quit IRC (Quit: Client closed)05:36
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has joined #yosys05:57
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has quit IRC (Ping timeout: 256 seconds)06:03
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Read error: Connection reset by peer)07:01
*** FabM <FabM!~FabM@2a03:d604:103:600:1e44:2287:b029:5fff> has joined #yosys07:05
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys07:06
*** dsp8bit <[email protected]> has joined #yosys07:12
*** dsp8bit <[email protected]> has quit IRC (Ping timeout: 240 seconds)07:20
*** freemint__ <freemint__!~freemint@2001:638:904:ffe8:2abf:c8f8:8aa3:41d7> has joined #yosys10:38
*** freemint <[email protected]> has joined #yosys11:18
*** freemint__ <freemint__!~freemint@2001:638:904:ffe8:2abf:c8f8:8aa3:41d7> has quit IRC (Ping timeout: 250 seconds)11:20
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has joined #yosys12:02
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has quit IRC (Ping timeout: 268 seconds)12:08
*** msh <[email protected]> has quit IRC (Read error: Connection reset by peer)12:46
*** msh_ <[email protected]> has joined #yosys12:46
*** vidbina <[email protected]> has joined #yosys13:46
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has joined #yosys13:47
*** freemint <[email protected]> has quit IRC (Remote host closed the connection)14:33
*** freemint <freemint!~freemint@2001:638:904:ffe8:2abf:c8f8:8aa3:41d7> has joined #yosys14:33
*** ymherklotz <[email protected]> has left #yosys (Killed buffer)14:45
*** freemint <freemint!~freemint@2001:638:904:ffe8:2abf:c8f8:8aa3:41d7> has quit IRC (Remote host closed the connection)14:50
*** freemint <freemint!~freemint@2001:638:904:ffe8:5579:9a60:54bd:c5b2> has joined #yosys14:50
*** freemint <freemint!~freemint@2001:638:904:ffe8:5579:9a60:54bd:c5b2> has quit IRC (Remote host closed the connection)15:02
*** freemint <freemint!~freemint@2001:638:904:ffe8:5579:9a60:54bd:c5b2> has joined #yosys15:03
*** citypw <citypw!~citypw@gateway/tor-sasl/citypw> has quit IRC (Ping timeout: 276 seconds)15:16
*** vidbina <[email protected]> has quit IRC (Ping timeout: 240 seconds)15:29
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has joined #yosys16:05
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has quit IRC (Ping timeout: 250 seconds)16:10
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has joined #yosys16:14
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has quit IRC (Ping timeout: 250 seconds)16:20
ikskuhheya o/16:22
ikskuhWarning: Replacing memory \data with list of registers. See src/fifo.v:54, src/fifo.v:4816:22
ikskuhis that a bad thing? 16:22
xiretza[m]depends on how big your memory is16:24
ikskuh64 bit in that case16:24
*** freemint <freemint!~freemint@2001:638:904:ffe8:5579:9a60:54bd:c5b2> has quit IRC (Remote host closed the connection)16:27
xiretza[m]might be fine then, it should tell you somewhere why it's not using block RAM16:28
xiretza[m]might just be too small to bother16:28
*** dsp8bit <[email protected]> has joined #yosys16:29
ikskuhis block ram automagically deduced?16:30
xiretza[m]well, yosys tries to do that, but it's not always very good at it16:33
ikskuhah, thanks :)16:35
ikskuhboth Verilog and yosys is very new to me16:35
ikskuhi have some experience with Lattice Diamond and MachXO{1,2,3}16:36
*** dsp8bit <[email protected]> has quit IRC (Ping timeout: 240 seconds)16:43
ikskuhi should learn how to run a simulation/workbench ^^16:53
ikskuh"my code doesn't work, it needs debugging"16:53
*** vidbina <vidbina!~vid@2a02:3032:0:4cf4:df56:cbe3:ac8d:ffe8> has joined #yosys17:23
*** peeps <peeps!~peepsalot@openscad/peepsalot> has joined #yosys17:38
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 256 seconds)17:39
*** vidbina <vidbina!~vid@2a02:3032:0:4cf4:df56:cbe3:ac8d:ffe8> has quit IRC (Ping timeout: 240 seconds)18:15
*** lumo_e <lumo_e!~enrico@2001:b07:5d38:4002:27f6:4f84:2b70:4bb1> has joined #yosys18:18
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has joined #yosys18:44
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has quit IRC (Ping timeout: 240 seconds)18:49
*** lumo_e <lumo_e!~enrico@2001:b07:5d38:4002:27f6:4f84:2b70:4bb1> has quit IRC (Ping timeout: 245 seconds)19:00
*** dsp8bit <[email protected]> has joined #yosys19:02
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Ping timeout: 268 seconds)19:47
*** bpye <bpye!~bpye@user/bpye> has quit IRC (Quit: The Lounge - https://thelounge.chat)20:09
*** bpye <bpye!~bpye@user/bpye> has joined #yosys20:09
*** dsp8bit <[email protected]> has quit IRC (Ping timeout: 250 seconds)20:36
*** lumo_e <lumo_e!~enrico@2001:b07:5d38:4002:4608:be95:709d:5710> has joined #yosys20:56
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has joined #yosys20:57
*** lumo_e <lumo_e!~enrico@2001:b07:5d38:4002:4608:be95:709d:5710> has quit IRC (Ping timeout: 268 seconds)21:01
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has quit IRC (Ping timeout: 256 seconds)21:02
*** lumo_e <lumo_e!~enrico@2001:b07:5d38:4002:d2bd:6cc2:c699:15a4> has joined #yosys21:04
*** dsp8bit <[email protected]> has joined #yosys21:24
*** dsp8bit <[email protected]> has quit IRC (Ping timeout: 240 seconds)21:43
*** lumo_e <lumo_e!~enrico@2001:b07:5d38:4002:d2bd:6cc2:c699:15a4> has quit IRC (Ping timeout: 250 seconds)22:29
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:9823:6ed:a3e3:31d5> has quit IRC (Remote host closed the connection)22:34
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:cf1:8507:720a:c17> has joined #yosys22:35
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has joined #yosys23:14
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has quit IRC (Ping timeout: 256 seconds)23:21
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has joined #yosys23:23
*** nelgau <nelgau!~nelgau@bras-base-mtrlpq5405w-grc-18-76-65-62-163.dsl.bell.ca> has quit IRC (Ping timeout: 260 seconds)23:28
*** oldtopman <[email protected]> has quit IRC (Ping timeout: 245 seconds)23:36

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!