Monday, 2021-11-01

*** tpb <[email protected]> has joined #yosys00:00
*** strobo <[email protected]> has quit IRC (Read error: Connection reset by peer)00:20
*** strobo <[email protected]> has joined #yosys00:26
*** strobo <[email protected]> has quit IRC (Ping timeout: 260 seconds)02:30
*** strobo <[email protected]> has joined #yosys02:31
*** somlo_ is now known as somlo03:27
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has joined #yosys04:18
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 260 seconds)04:19
*** gatecat <[email protected]> has quit IRC (*.net *.split)05:54
*** tmiw <[email protected]> has quit IRC (*.net *.split)05:54
*** anticw <[email protected]> has quit IRC (*.net *.split)05:54
*** tlwoerner <[email protected]> has quit IRC (*.net *.split)05:54
*** anuejn <[email protected]> has quit IRC (*.net *.split)05:54
*** vup <[email protected]> has quit IRC (*.net *.split)05:54
*** tmiw <[email protected]> has joined #yosys05:54
*** gatecat <[email protected]> has joined #yosys05:54
*** tlwoerner <[email protected]> has joined #yosys05:54
*** vup <[email protected]> has joined #yosys05:55
*** anuejn <[email protected]> has joined #yosys05:55
*** anticw <[email protected]> has joined #yosys05:59
*** jryans <jryans!~jryans@2001:470:69fc:105::1d> has quit IRC (*.net *.split)06:02
*** smkz <smkz!~x@user/smkz> has quit IRC (*.net *.split)06:02
*** Kamilion <Kamilion!kamilion@user/kamilion> has quit IRC (*.net *.split)06:02
*** gruetzkopf <gruetzkopf!~quassel@wireguard/tunneler/gruetzkopf> has quit IRC (*.net *.split)06:02
*** piegames <[email protected]> has quit IRC (*.net *.split)06:02
*** knielsen <[email protected]> has quit IRC (*.net *.split)06:02
*** V <[email protected]> has quit IRC (*.net *.split)06:02
*** rektide <[email protected]> has quit IRC (*.net *.split)06:02
*** koorogi <koorogi!~koorogi@user/koorogi> has quit IRC (*.net *.split)06:02
*** knielsen <[email protected]> has joined #yosys06:03
*** piegames <[email protected]> has joined #yosys06:03
*** koorogi <[email protected]> has joined #yosys06:03
*** rektide <[email protected]> has joined #yosys06:03
*** V <[email protected]> has joined #yosys06:03
*** gruetzkopf <gruetzkopf!~quassel@wireguard/tunneler/gruetzkopf> has joined #yosys06:03
*** Kamilion <[email protected]> has joined #yosys06:03
*** jryans <jryans!~jryans@2001:470:69fc:105::1d> has joined #yosys06:06
*** smkz <smkz!~x@user/smkz> has joined #yosys06:08
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Read error: Connection reset by peer)07:02
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys07:06
*** vidbina <[email protected]> has joined #yosys09:16
*** dlobato <[email protected]> has joined #yosys10:26
*** dlobato <[email protected]> has quit IRC (Ping timeout: 260 seconds)11:31
*** dlobato <[email protected]> has joined #yosys11:56
*** vidbina <[email protected]> has quit IRC (Ping timeout: 268 seconds)13:55
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 245 seconds)14:46
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys14:47
*** Lord_Nightmare <Lord_Nightmare!Lord_Night@user/lord-nightmare/x-3657113> has quit IRC (Quit: ZNC - http://znc.in)14:52
*** Lord_Nightmare <Lord_Nightmare!Lord_Night@user/lord-nightmare/x-3657113> has joined #yosys14:54
*** vidbina <[email protected]> has joined #yosys15:18
*** gsmecher <[email protected]> has joined #yosys15:18
*** kraiskil <[email protected]> has joined #yosys15:58
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 260 seconds)16:21
cr1901ERROR: Unsupported or unknown directionality on port PAD of cell gate.\$iopadmap$top.clk ($paramod\FACADE_IO\DIR=t40'0100100101001110010100000101010101010100). <-- I'm guessing that's a fancy way of saying "the SMT2 backend does not support tristates"18:04
cr1901?*18:05
mwkinout ports actually, but yes18:15
mwkthis is what -noiopad is for, btw18:15
cr1901Yes, and I have a testcase where nextpnr breaks a yosys design where noiopad was used18:16
cr1901and have been talking to gatecat about this in DM and am unsure whether I should just plain remove -noiopad from synth_machxo2 and error out if nextpnr sees an I/O signal w/o a pad18:17
cr1901and fix synth_smt218:17
cr1901or what18:17
mwk-noiopad is meant for two things18:17
mwkformal verification and out-of-context mode18:18
mwkit should stay for these two18:18
mwk... out-of-context is probably not particularly important for a tiny device, but still18:18
cr1901Right, I'm using it to create a miter to compare pre and post-pnr designs18:18
mwkbut, hm18:18
cr1901That's a reasonable use case, yes?18:18
mwkpost-pnr is tricky because these IO cells are left18:19
mwkbut... you can use techmap to flatten the FACADE_IO definition into the design, which will result in no more inout ports18:19
cr1901is deminout also required?18:19
cr1901(That was my next step, btw)18:19
mwkno18:19
mwkif you replace the cells with their insides via techmap, there won't *be* a port18:20
mwkinout or otherwise18:20
cr1901I was going to say "but FACADE_IO has a tristate in its definition", but... no it doesn't: https://github.com/YosysHQ/yosys/blob/master/techlibs/machxo2/cells_sim.v#L162-L16918:22
cr1901I think I'm worried whether SMT2 can handle PAD being used on both the RHS and LHS of an assign18:22
cr1901(even after flattening)18:22
cr1901but I'll try and see what happens18:22
mwkthere may also be a tribuf that needs some handling18:23
cr1901In all my designs I'm testing I don't actually use tristate functionality, so it should all "go away" with a sufficient number of yosys passes :P18:24
mwk... assuming they actually work18:25
mwktribufs are... let's just say I don't trust our handling of those18:25
cr1901I have faith18:25
cr1901(for now)18:25
mwk.. I consider it more likely than not that you'll uncover some bugs18:26
mwkas in, in yosys core18:26
cr1901I'll at least report them, but I'm not sure how useful I'll be fixing them. Part of the reason I want to create miters is because I don't trust that my models are mistake-free compared to yosys' built-in ones18:27
cr1901(It's also fun for some value of fun)18:28
cr1901FWIW, if you try to run nextpnr when you have -noiopad enabled, it'll turn this Verilog: http://gopher.wdj-consulting.com:70/paste/453d20f1-f63a-4e1a-bb42-dcf3282084f9.txt18:34
cr1901into this: http://gopher.wdj-consulting.com:70/paste/768a2159-e79b-46cd-b109-5c93239a4bb2.txt18:35
cr1901This is fine and definitely what I intended :)18:35
cr1901So indeed, maybe it's better to just plain error out instead of trying to pnr this18:36
mwk... I would recommend either fixing the nextpnr bug here properly by auto-adding IOBs, or making it a hard error to have a pin with a missing IOB18:37
mwkyes18:37
cr1901I do not support auto-adding IOBs at all, in fact I remove them forcefully during packing (I'm not sure if the auto-IOB functionality is going away or not, but I don't want to deal w/ them)18:38
cr1901See: https://github.com/YosysHQ/nextpnr/blob/master/machxo2/pack.cc#L232-L24618:38
cr1901I guess I just expected nextpnr to "do the right thing" even without the I/O pads :P18:39
cr1901oops...18:39
*** dlobato <[email protected]> has quit IRC (Ping timeout: 260 seconds)18:43
*** vidbina <[email protected]> has quit IRC (Ping timeout: 268 seconds)18:53
cr1901So how do you pass info about whether nextpnr_iobuf's ports were split or not up to the arch implementation? https://github.com/YosysHQ/nextpnr/blob/ee65e6f32d669cabd1d8a00534410da423348ac4/frontend/json_frontend.cc#L20019:30
cr1901Maybe the context keeps that info... checking19:32
cr1901doesn't appear to. Maybe I'll add that info as part of the MachXO2 bug fix PR (won't affect other backends right now)19:46
*** dlobato <[email protected]> has joined #yosys20:55
*** vidbina <[email protected]> has joined #yosys21:07
mwksplit?21:20
cr1901mwk: https://github.com/YosysHQ/nextpnr/blob/ee65e6f32d669cabd1d8a00534410da423348ac4/frontend/frontend_base.h#L590-L61021:22
cr1901if split_io is set, nextpnr_iobuf has a separate I and O port (default for JSON)21:23
cr1901if not, nextpnr_iobuf has a single IO port21:23
cr1901If I'm detecting whether FACADE_IO is attached to a nextpnr_*buf, I should know which ports a nextpnr_iobuf has21:24
cr1901(okay realistically, I can just check "I" and "O" unconditionally for now)21:24
*** dlobato <[email protected]> has quit IRC (Ping timeout: 260 seconds)21:38

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!