Saturday, 2021-10-30

*** tpb <[email protected]> has joined #yosys00:00
*** shoragan <shoragan!~shoragan@user/shoragan> has joined #yosys00:00
*** buhman <buhman!sid411355@user/buhman> has joined #yosys00:00
*** svenn <[email protected]> has joined #yosys00:00
*** Raito_Bezarius <Raito_Bezarius!~Raito@2a01:e0a:5f9:9681:a0a0:bb76:611f:9da7> has joined #yosys00:00
*** mithro <[email protected]> has joined #yosys00:00
*** diadatp <diadatp!~diadatp@2001:470:69fc:105::c603> has joined #yosys00:02
*** gsmecher <[email protected]> has quit IRC (Ping timeout: 260 seconds)00:06
*** strobo <[email protected]> has quit IRC (Ping timeout: 260 seconds)02:02
*** strobo <[email protected]> has joined #yosys02:03
cr1901read_verilog only works for full modules. Is it possible to take a selection and create a separate module so that I can write out the subset of the entire input cone of an output signal as a module?02:13
mwkdesign -save, flip selection, remove selection, write what remains to .v file, design -load02:28
mwk(assuming you mean write_verilog)02:28
cr1901Yes write_verilog is what I meant02:29
cr1901I came across a design that synthesizes for machxo2 but the post-synth doesn't match pre-synth. And I got a miter to fail induction w/ the desired signal02:30
mwk... that's worrying02:30
cr1901It's probably something I did02:30
mwkhow exactly are you doing the post-synth test?02:31
*** strobo <[email protected]> has quit IRC (Ping timeout: 245 seconds)02:31
cr1901https://github.com/YosysHQ/nextpnr/blob/master/machxo2/examples/mitertest.sh#L54-L6902:31
cr1901You want me to give you my exact inputs?02:31
mwkmhm, so it's not a P&R issue, okay02:32
cr1901Right, this happens during packing02:32
cr1901sorry for not making that clear02:32
mwkpacking?02:33
cr1901FFs/LUTs => SLICEs02:33
*** strobo <[email protected]> has joined #yosys02:33
mwkoh, so you're tracking down a nextpnr bug?02:33
cr1901yes02:33
mwkokay, was worried there was something broken in general yosys code for a moment02:34
cr1901But Idk where to even look without using yosys to help me02:34
cr1901There's no way I'm gonna be able to keep track of the entire post-synth design in my head, even for something as simple as a UART02:34
mwk... last time I was in that situation, I ended up tracking down a JSON parser bug with an oscilloscope02:37
cr1901That is one hell of a sentence you just wrote.02:38
cr1901Anyways I'm giving up for the night02:40
cr1901What are the commands for flipping/removing selection? And is the design -save part necessary?02:41
mwkdesign -save is only necessary if you want to get the original back later02:42
mwkthe remove command is spelled `delete <selection>`02:42
mwkand as for flipping: append %n when constructing the selection02:42
cr1901tyvm... night! :)02:45
mwknight02:48
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Quit: Connection reset by peep)04:47
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys04:49
*** famubu <famubu!~famubu@user/famubu> has joined #yosys06:07
famubuHi. Is yosys more comfortable working with verilog when compared to vhdl? Is there something like that? (I'm new to yosys)06:11
famubuThe manual mentions in the history section of the yosys manual that the developers prefered verilog over vhdl.06:12
famubuAnd in Chapter 4 (Implementation overview), it is mentioned that a VHDL frontend is in development.06:12
famubuFound https://github.com/YosysHQ/yosys-plugins/tree/master/vhdl06:13
famubuBut the last commit was 6 years ago.06:13
gatecatfamubu: https://github.com/ghdl/ghdl-yosys-plugin is considerably newer and more complete06:40
famubugatecat: Thanks!06:43
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has quit IRC (Read error: Connection reset by peer)07:01
*** kristianpaul <kristianpaul!~paul@user/kristianpaul> has joined #yosys07:05
*** famubu <famubu!~famubu@user/famubu> has quit IRC (Ping timeout: 264 seconds)08:01
*** _whitelogger <[email protected]> has quit IRC (Remote host closed the connection)08:04
*** _whitelogger <[email protected]> has joined #yosys08:05
*** famubu <[email protected]> has joined #yosys08:58
*** kraiskil <[email protected]> has joined #yosys10:10
*** vidbina <[email protected]> has joined #yosys11:24
*** vidbina <[email protected]> has quit IRC (Ping timeout: 264 seconds)12:06
*** famubu <famubu!~famubu@user/famubu> has quit IRC (Ping timeout: 268 seconds)12:13
*** kraiskil <[email protected]> has quit IRC (Ping timeout: 252 seconds)12:22
*** acathla <[email protected]> has quit IRC (Remote host closed the connection)12:32
*** acathla <[email protected]> has joined #yosys12:33
*** famubu <[email protected]> has joined #yosys13:03
*** vidbina <[email protected]> has joined #yosys13:35
*** famubu <[email protected]> has quit IRC (Ping timeout: 246 seconds)13:40
*** famubu <[email protected]> has joined #yosys14:09
*** vidbina <[email protected]> has quit IRC (Ping timeout: 252 seconds)14:34
cr1901Okay, I'm awake. And my current verdict is I don't want to work on finding the bug today. So I won't :).14:37
cr1901Thank goodness for .yosys_history14:38
*** famubu <[email protected]> has quit IRC (Quit: leaving)14:41
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has joined #yosys14:56
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 245 seconds)14:57
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #yosys14:59
*** peeps[zen] <peeps[zen]!~peepsalot@openscad/peepsalot> has quit IRC (Ping timeout: 245 seconds)15:01
*** sm2n <sm2n!~sm2n@user/sm2n> has quit IRC (Remote host closed the connection)15:29
*** sm2n <sm2n!~sm2n@user/sm2n> has joined #yosys15:30
*** vidbina <[email protected]> has joined #yosys23:03
*** lexano <lexano!~lexano@cpe00e06722f0e4-cm98524a70e35e.cpe.net.cable.rogers.com> has joined #yosys23:13
*** vidbina <[email protected]> has quit IRC (Ping timeout: 260 seconds)23:22

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!