Saturday, 2022-11-12

*** tpb <[email protected]> has joined #litex00:00
*** mtm- <[email protected]> has quit IRC (Ping timeout: 268 seconds)00:03
*** mtm <[email protected]> has joined #litex02:10
*** Emantor <[email protected]> has quit IRC (Quit: ZNC - http://znc.in)02:20
*** mtm <[email protected]> has quit IRC (Remote host closed the connection)02:20
*** Emantor <[email protected]> has joined #litex02:22
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has quit IRC (Read error: Connection reset by peer)02:47
*** peepsalot <peepsalot!~peepsalot@openscad/peepsalot> has joined #litex02:47
*** Degi_ <[email protected]> has joined #litex03:46
*** Degi <[email protected]> has quit IRC (Ping timeout: 260 seconds)03:46
*** Degi_ is now known as Degi03:46
*** lambda <[email protected]> has quit IRC (*.net *.split)05:57
*** nats` <nats`!~nats@2001:41d0:8:c634::1> has quit IRC (*.net *.split)05:57
*** pbsds <[email protected]> has quit IRC (*.net *.split)05:57
*** shoragan[m] <shoragan[m]!~shoraganm@2001:470:69fc:105::39> has quit IRC (*.net *.split)05:57
*** jtf <jtf!~jtf@2601:285:8000:87::5c4> has quit IRC (*.net *.split)05:57
*** nats` <nats`!~nats@2001:41d0:8:c634::1> has joined #litex05:57
*** lambda <[email protected]> has joined #litex05:57
*** jtf <jtf!~jtf@2601:285:8000:87::5c4> has joined #litex05:57
*** shoragan[m] <shoragan[m]!~shoraganm@2001:470:69fc:105::39> has joined #litex06:00
*** pbsds <[email protected]> has joined #litex06:00
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)07:30
*** TMM_ <[email protected]> has joined #litex07:30
*** G33KatWork <[email protected]> has quit IRC (Read error: Software caused connection abort)09:08
*** G33KatWork <[email protected]> has joined #litex09:14
*** Brinx <[email protected]> has joined #litex09:39
*** Brinx <[email protected]> has quit IRC (Remote host closed the connection)09:51
*** Brinx <[email protected]> has joined #litex09:52
*** Brinx <[email protected]> has quit IRC (Ping timeout: 240 seconds)09:56
*** mewt <[email protected]> has quit IRC (Read error: Software caused connection abort)10:30
*** mewt <[email protected]> has joined #litex10:36
sensillei tried to build the colorlite project, https://github.com/enjoy-digital/colorlite, but it fails timing: 44.83 MHz (FAIL at 50.00 MHz) and 110.78 MHz (FAIL at 125.00 MHz). is anyone here using it?11:12
*** Brinx <[email protected]> has joined #litex11:58
*** Brinx_ <[email protected]> has joined #litex11:59
*** Brinx <[email protected]> has quit IRC (Read error: Connection reset by peer)11:59
*** Brinx_ <[email protected]> has quit IRC (Remote host closed the connection)15:11
*** Brinx <[email protected]> has joined #litex15:12
_florent_Hi sensille, the timing were indeed a bit off, but some improvements have been done recently to use a 32-bit datapath in the Ethernet core, which improves timings, not sure this is already applied to ColorLite project (I created it as simple demo and use it BTW).15:13
_florent_It also seems that some developers are building a CNC controller using it as a basis: https://forum.linuxcnc.org/27-driver-boards/44422-colorcnc15:14
tpbTitle: ColorCNC Colorlight 5A-75E/5A-75B as FPGA controller board - LinuxCNC (at forum.linuxcnc.org)15:14
_florent_you can probably find some useful information there too15:14
sensillei installed litex only a few days ago, should the improvements be automatically included in colorlite?15:15
sensillethe project is what brought me here :)15:15
sensillei built that and noticed the failed timing. to simplify things i tried colorlite next, with the same result15:16
sensilleit also highly depends on the yosys/nextpnr version15:16
sensillei'm trying to wrap my head around litex, so i can contribute to the cnc project :)15:16
*** Brinx <[email protected]> has quit IRC (Ping timeout: 256 seconds)15:18
sensillethe basic idea of litex is quite tempting, i have a variety of boards supported by litex15:18
sensilleis there a central module documentation, or is the source code the documentation?15:21
_florent_I would recommend exploring the Wiki: https://github.com/enjoy-digital/litex/wiki15:36
_florent_and the slides: https://docs.google.com/presentation/d/1mQOWqgmyQxpjLAzFwCulqgkp0TuxmaIDYp5iUfPqqIk/edit15:37
tpbTitle: LiteX: SoC builder framework - Google Präsentationen (at docs.google.com)15:37
_florent_documentation is still sparse but we are trying to improve it progressively15:37
sensillebut i slowly start to get the idea :-)15:52
sensilleanother thing i noticed is that litex_sim doesn't work with a recent version of verilator, probably due to the change in the interface with verilator 4.21016:10
sensilleand one more: for the rv901t colorlight board (spartan 6, ISE 14.7), ISE optimizes eth_tx_clk away, so that the .ucf is wrong17:52
_florent_can you fill an issue for Verilator? Because I just recompiled verilator a few days ago and litex_sim was working18:03
_florent_For spartan6, I indeed spend minimal time getting things working since I no longer use ISE that much, but things were compiling IIRC, if it's no the case, please also fill an issue, I'll have a look. I remember fixing something similar18:04
sensillestrange. i was using a recent oss_cad_suite and got some errors. when i double checked with a version for 20210706 it worked18:05
sensillei seems like i can just constrain on eth_rx_clk instead18:05
sensilleunfortunately vivado does not support spartan 618:08
sensilleissue for eth_tx_clk opened. i will double check the litex_sim issue before creating an issue for that18:20
sensillewohoo, it pings! :-)18:52
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)21:35
*** TMM_ <[email protected]> has joined #litex21:35

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!