Tuesday, 2022-06-07

*** tpb <[email protected]> has joined #litex00:00
*** bentomo97 <[email protected]> has joined #litex01:22
*** bentomo97 <[email protected]> has left #litex01:22
*** bentomo97 <[email protected]> has joined #litex01:23
*** bentomo97 is now known as bentomo101:23
*** bentomo1 <[email protected]> has quit IRC (Client Quit)01:24
*** bentomo <[email protected]> has quit IRC (Ping timeout: 252 seconds)01:25
*** Degi <[email protected]> has quit IRC (Ping timeout: 246 seconds)03:10
*** Degi <[email protected]> has joined #litex03:11
*** lambda <[email protected]> has quit IRC (Ping timeout: 246 seconds)04:14
*** zyp <[email protected]> has quit IRC (Ping timeout: 246 seconds)04:14
*** mlaga97 <mlaga97!~quassel@user/mlaga97> has quit IRC (Ping timeout: 246 seconds)04:14
*** Xesxen <Xesxen!~cyber@hackalot/deelnemer/xesxen> has quit IRC (Ping timeout: 246 seconds)04:14
*** mlaga97 <mlaga97!~quassel@user/mlaga97> has joined #litex04:14
*** Xesxen <Xesxen!~cyber@hackalot/deelnemer/xesxen> has joined #litex04:14
*** zyp <[email protected]> has joined #litex04:16
*** lambda <[email protected]> has joined #litex04:28
*** FabM <FabM!~FabM@armadeus/team/FabM> has joined #litex06:27
*** Seth25 <[email protected]> has joined #litex06:59
Seth25Hi, I am trying to port LiteX to the Upduino 2.0 and have the platform/target files about 99% there I think, but I can't figure out the clock. I believe the Upduino 2.0 only has the internal clock unless you connect the clock output to a pin with a jumper of some sort. I can't figure out how to tell LiteX to use the internal oscillator for a clock07:02
Seth25signal. Anyone know how to do that? The Upduino 2.0 uses an ICE40UP5k FPGA (same as Icebreaker)07:02
*** Guest82 <Guest82!~Guest82@2a04:ec82:0:200:e8a8:fd75:2475:94c5> has joined #litex09:29
*** Guest82 <Guest82!~Guest82@2a04:ec82:0:200:e8a8:fd75:2475:94c5> has quit IRC (Quit: Client closed)09:37
*** Seth25 <[email protected]> has quit IRC (Quit: Client closed)09:46
*** indy <[email protected]> has quit IRC (Quit: ZNC 1.8.2 - https://znc.in)10:25
*** indy <[email protected]> has joined #litex10:26
*** indy <[email protected]> has quit IRC (Ping timeout: 272 seconds)10:41
*** indy <[email protected]> has joined #litex10:45
_florent_Seth25: This is for ECP5, but this should be very similar on iCE40: https://github.com/litex-hub/litex-boards/blob/master/litex_boards/targets/colorlight_i5.py#L51-L5410:55
_florent_mithro: I just did a quick update of the doc: Added missing cases: Artix7/DDR2 (NexysA7), Ultrascale/DDR3 (Decklink Quad HDMI Recorder) and also added ECP5 for SDR/DDR3 + RPC DRAM for DDR3/Artix710:57
*** indy <[email protected]> has quit IRC (Ping timeout: 255 seconds)11:14
*** indy <[email protected]> has joined #litex11:31
*** AndrewD <[email protected]> has quit IRC (Quit: Client closed)11:32
*** indy_ <[email protected]> has joined #litex11:36
*** indy <[email protected]> has quit IRC (Ping timeout: 240 seconds)11:36
*** indy_ is now known as indy12:08
*** Guest62 <[email protected]> has joined #litex12:50
*** Guest62 <[email protected]> has quit IRC (Client Quit)12:51
*** sammhho <[email protected]> has joined #litex12:54
sammhhohi all12:54
sammhhoanyone having success running gdbserver or gdb within buildroot Linux on a Vexriscv SoC ?12:55
sammhhowhen i run a full gdb within the buildroot it gives `-sh: gdb: Text file busy` from the busybox shell12:56
*** sammhho <[email protected]> has quit IRC (Quit: Ping timeout (120 seconds))13:05
*** bentomo <[email protected]> has joined #litex13:35
bentomoIs there a way to do a "make clean" like command for the gen.py?15:13
_florent_bentomo: not really, rm -rf build should work :)15:37
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Quit: Leaving)15:37
mithro_florent_: Thank you!15:51
_florent_mithro: BTW, we could also add Artix Ultrascale + :): https://twitter.com/FPGA_Zealot/status/153405847354502758415:56
mithroThat would be awesome!15:56
_florent_and https://twitter.com/FPGA_Zealot/status/153418450526677811415:56
mithro_florent_: Did you see that my team launched https://developers.google.com/silicon ? (Associated blog post - https://bit.ly/gdevsilicon).15:58
tpbTitle: Silicon  |  Google Developers (at developers.google.com)15:58
bentomo_florent_: got it, Thanks! By the way, so far in my litedram adventures I found that while trying to generate a litedram core with serv in it, the bios did not fit in the default rom size. Not sure if it's worth opening an issue yet as I'm still learning and could be doing something wrong.16:06
_florent_mithro: Thanks, I indeed saw this. It seems your efforts are gaining traction internally, congrats :)16:10
_florent_mithro: I edided the document and splitted Ultrascale in Artix/Kintex/Virtex16:11
_florent_mithro: we should also probably compare things to MIG instead of MCB from Spartan616:12
_florent_bentomo: in LiteX targets, the ROM is automatically resized to the size of the binary at the end of the SoC generation, but not sure this has been applied to the generator. I could have a look if you think there is an issue.16:15
bentomo_florent_: My workaround was to manually increase the rom size on line 859 of gen.py in the current commit to up the rom size. I could blanket post my yaml here if you'd like if you want to reproduce it. Just asking before I attach files if this is the appropriate place for it16:20
*** Seth91 <[email protected]> has joined #litex16:36
mithro_florent_: the MCB is just an artifact of how old that spreadsheet is :-)16:47
mithro_florent_: Is the support for Ultrascale or Ultrascale+ ?17:07
*** gatecat_ <[email protected]> has joined #litex18:46
*** esden_ <[email protected]> has joined #litex18:46
*** mithro_ <[email protected]> has joined #litex18:47
*** pavelow <[email protected]> has joined #litex18:47
*** G33KatWo1k <[email protected]> has joined #litex18:49
*** Stary_ <Stary_!~Stary@hacksoc/infrastructure> has joined #litex18:50
*** mithro <[email protected]> has quit IRC (Ping timeout: 248 seconds)18:50
*** G33KatWork <[email protected]> has quit IRC (Ping timeout: 248 seconds)18:50
*** mikolajw <mikolajw!~mikolajtc@2001:470:69fc:105::3b02> has quit IRC (Ping timeout: 248 seconds)18:51
*** Crofton[m] <Crofton[m]!~croftongn@2001:470:69fc:105::9a7> has quit IRC (Ping timeout: 248 seconds)18:51
*** pavelow_ <[email protected]> has quit IRC (Ping timeout: 248 seconds)18:51
*** Stary <Stary!~Stary@hacksoc/infrastructure> has quit IRC (Ping timeout: 248 seconds)18:51
*** esden <[email protected]> has quit IRC (Ping timeout: 248 seconds)18:51
*** gatecat <[email protected]> has quit IRC (Ping timeout: 248 seconds)18:51
*** mithro_ is now known as mithro18:51
*** gatecat_ is now known as gatecat18:51
*** esden_ is now known as esden18:51
*** mikolajw <mikolajw!~mikolajtc@2001:470:69fc:105::3b02> has joined #litex18:51
*** Crofton[m] <Crofton[m]!~croftongn@2001:470:69fc:105::9a7> has joined #litex18:52
*** acathla <[email protected]> has quit IRC (Ping timeout: 240 seconds)19:06
*** Stary_ is now known as Stary19:20
*** acathla <[email protected]> has joined #litex19:25
_florent_mithro: the PHY is the same on Ultrascale/Ultrascale+ :) : https://github.com/enjoy-digital/litedram/blob/master/litedram/phy/usddrphy.py#L494-L49820:00
mithro_florent_: oh! That is super interesting20:04
mithro_florent_: is there a difference between artix / kintex / virtex?20:04
jevinskie[m]I’m looking at the transceiver IP from intel for arria 10 and my eyes are bleeding. It’s so friggin complicated 🫠20:23
*** indy <[email protected]> has quit IRC (Ping timeout: 255 seconds)22:06
*** indy <[email protected]> has joined #litex22:13
*** Seth91 <[email protected]> has quit IRC (Quit: Client closed)23:30
bentomoOne other general thing I'm having trouble figuring out. How does the bios.elf make it into the rom? The output says it was loaded but I don't see any tcl commands that load bram or it being hard coded in the memory verilog file. Currently generating a bit stream sand going to yolo it but not sure if I'll get any output23:39

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!