Friday, 2022-03-11

*** tpb <[email protected]> has joined #litex00:00
*** toshywoshy <toshywoshy!~toshywosh@ptr-377wf33o3bnthuddmycb.18120a2.ip6.access.telenet.be> has quit IRC (Ping timeout: 250 seconds)02:00
*** bl0x <bl0x!~bastii@p200300d7a7181e00143e35b524195664.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 250 seconds)02:39
*** bl0x <bl0x!~bastii@p200300d7a7128e00629485df79a66629.dip0.t-ipconnect.de> has joined #litex02:41
*** MoeIcenowy <[email protected]> has quit IRC (Quit: ZNC 1.7.2+deb3 - https://znc.in)02:44
*** MoeIcenowy <[email protected]> has joined #litex02:44
*** toshywoshy <toshywoshy!~toshywosh@ptr-377wf33o3bnthuddmycb.18120a2.ip6.access.telenet.be> has joined #litex02:46
*** MoeIcenowy is now known as Moe_Icenowy02:47
*** Degi_ <[email protected]> has joined #litex02:54
*** Degi <[email protected]> has quit IRC (Ping timeout: 240 seconds)02:54
*** Degi_ is now known as Degi02:55
*** mobius <mobius!sid478273@2a03:5180:f:5::7:4c41> has joined #litex03:53
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)04:33
*** TMM_ <[email protected]> has joined #litex04:33
*** eigenform <[email protected]> has quit IRC (Quit: No Ping reply in 180 seconds.)05:04
*** eigenform <[email protected]> has joined #litex05:46
tnt_florent_: so turns out that the workaround I used to get sys_clk above doesn't work ...06:29
tntit results in multiple `wire` in the generated verilog, each of them with the don_touch attribute set ... forcing vivado to create multiple clock networks, each skewed with each other.06:29
tntmmmm ... maybe I was a little quick to jump to the conclusion that this was the issue. yet TBD07:00
tntNope nevermind, it was the issue.07:47
*** FabM <[email protected]> has joined #litex08:05
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Remote host closed the connection)08:10
*** FabM <[email protected]> has joined #litex08:13
*** zjason` is now known as zjason11:33
_florent_tnt: I imagine you are trying to apply a constraint internally in a submodule/peripherals of the SoC. What you could also do is add a "add_timing_constraint" method to your module and call it from the SoC14:09
_florent_tnt: similar to this: https://github.com/enjoy-digital/litex/blob/master/litex/soc/cores/dna.py#L43-L4514:09
*** FabM <FabM!~FabM@armadeus/team/FabM> has quit IRC (Quit: Leaving)16:47
tnt_florent_: yeah, I ended up just passing the sys_clk signal to the constructor directly.20:37
tntI'm having trouble bringing the JESD link up for some reason. It was working a couple month back, haven't tried it since and ... not sure what I'm screwing up now.20:38
*** linear_cannon <[email protected]> has quit IRC (Ping timeout: 250 seconds)22:09
*** linear_cannon <[email protected]> has joined #litex22:09
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)22:13
*** TMM_ <[email protected]> has joined #litex22:13
*** ilia__s3 <[email protected]> has joined #litex22:31
*** Degi_ <[email protected]> has joined #litex22:32
*** Degi <[email protected]> has quit IRC (*.net *.split)22:39
*** ilia__s <[email protected]> has quit IRC (*.net *.split)22:39
*** Degi_ is now known as Degi22:39
*** ilia__s3 is now known as ilia__s22:39

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!