Friday, 2022-06-03

*** tpb <[email protected]> has joined #f4pga00:00
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)00:03
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga00:03
*** jacobk <[email protected]> has quit IRC (Ping timeout: 244 seconds)00:09
*** jacobk <[email protected]> has joined #f4pga00:59
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)02:57
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga03:50
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)06:04
*** yeti <yeti!~username@user/yeti> has quit IRC (Quit: \\//_)09:22
*** yeti <yeti!~username@user/yeti> has joined #f4pga09:24
*** yeti <yeti!~username@user/yeti> has quit IRC (Client Quit)09:28
*** yeti <yeti!~username@user/yeti> has joined #f4pga09:30
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga11:18
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)11:25
*** indy <[email protected]> has quit IRC (Quit: ZNC 1.8.2 - https://znc.in)11:28
*** indy <[email protected]> has joined #f4pga11:32
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga11:37
*** indy_ <[email protected]> has joined #f4pga11:38
*** indy <[email protected]> has quit IRC (Ping timeout: 258 seconds)11:38
*** indy_ <[email protected]> has quit IRC (Ping timeout: 240 seconds)11:42
*** indy <[email protected]> has joined #f4pga11:42
*** indy <[email protected]> has quit IRC (Ping timeout: 240 seconds)11:47
*** indy <[email protected]> has joined #f4pga11:54
*** indy <[email protected]> has quit IRC (Ping timeout: 246 seconds)12:02
*** indy <[email protected]> has joined #f4pga12:20
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)13:26
*** TMM_ <[email protected]> has joined #f4pga13:26
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)13:34
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga16:06
*** adjtm <[email protected]> has joined #f4pga16:12
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga16:30
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)16:47
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:58
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga17:04
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)17:08
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga17:29
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)18:16
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga18:24
*** jacobk <[email protected]> has quit IRC (Ping timeout: 246 seconds)18:46
*** jacobk <[email protected]> has joined #f4pga18:47
*** zyp <[email protected]> has quit IRC (Remote host closed the connection)18:48
*** zyp <[email protected]> has joined #f4pga18:55
lambdasynthesizing a blinky takes 90s from verilog to bitstream for my xc7a35t, adding a liteeth core makes it jump to 6 minutes. is this expected? is there any way to make it faster? it seems to spend quite a bit of time on "Net '[...]' is impossible to route within proposed BLK-TL-SLICEL cluster" messages, not sure if that's normal20:07
lkcllambda: nextpnr-xilinx is way quicker than vtr (used in symbiflow)20:11
lambdadoes it work? I thought it was mostly dead20:11
lkcli've been using it for complex designs and i haven't found massive show-stoppers yet20:12
lkclit's actually faster build time than nextpnr-ecp5 for the same design, although to be honest that could be down to the fact that the xc7100t (arty a7 100t) has more spare resources20:13
lkcl100k LUTs as compared to an 85k LUTs ECP520:13
lkclso is simply having an easier time routing20:14
lambdaI've used it in the past, but at the time it was somewhat abandoned and yosys changes kept breaking it from time to time20:14
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)20:14
lambdagood to hear it's still hanging on20:14
lkclyeah we use yosys-0.13 very deliberately20:14
lambdaoh20:15
lkclbut the reason for that is down to mix-and-match APIs with GHDL and yosys-ghdl-plugin20:15
lkclthere was a cross-over point about... mmm.... a year ago?20:15
lkclwhere *both* ghdl *and* yosys changed APIs20:15
lambdaidk, ghdl-yosys-plugin seems to work fine with yosys master20:16
lkclghdl-yosys-plugin was caught in the crossfire of the two incompatible changes20:16
lkclyeah it's likely things have "caught up" now, like the concertina-traffic-jam-effect :)20:17
lkclif you want a confirmed-stable-repro-build script for nextpnr-xilinx, to try it out:20:18
lkclhttps://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=nextpnr-xilinx-install;hb=HEAD20:18
tpbTitle: git.libre-soc.org Git - dev-env-setup.git/blob - nextpnr-xilinx-install (at git.libre-soc.org)20:18
lambdathanks, I might come back to that if I can't get it working as-is20:18
lkcllines 85 onwards if you've a different FPGA from the xc7100t you'd need to adapt it20:19
lambdaright now I'm happy to have an FPGA that replies to ICMP :)20:19
lkcl:)20:19
lambdaoh cool, someone actually packaged it in the AUR in the meantime, let's see how broken that is20:26
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga20:26
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)20:36
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga20:43
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:41f8:3618:d39b:902a> has quit IRC (Ping timeout: 255 seconds)20:45
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2c93:9816:7972:53c8> has joined #f4pga20:47
lambdaupdate: somewhat20:52
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2c93:9816:7972:53c8> has quit IRC (Read error: Connection reset by peer)20:55
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2c93:9816:7972:53c8> has joined #f4pga20:58
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2c93:9816:7972:53c8> has quit IRC (Remote host closed the connection)21:02
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2c93:9816:7972:53c8> has joined #f4pga21:03
*** adjtm <[email protected]> has quit IRC (Read error: Connection reset by peer)21:41
*** adjtm_ <[email protected]> has joined #f4pga21:41
lambdalkcl: hah, 60s for the liteeth design with nextpnr-xilinx21:51
lambdaand at least half of that is yosys21:51
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)23:00
*** adjtm_ <[email protected]> has quit IRC (Read error: Connection reset by peer)23:00
*** adjtm_ <[email protected]> has joined #f4pga23:01

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!