Wednesday, 2022-05-11

*** tpb <[email protected]> has joined #f4pga00:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)00:41
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)04:33
*** TMM_ <[email protected]> has joined #f4pga04:33
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:69e1:96e5:bc4a:3f5> has quit IRC (Read error: Connection reset by peer)05:32
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:717e:277d:77ae:49d9> has joined #f4pga06:07
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:911:547b:5317:6105:b877> has joined #f4pga07:13
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:717e:277d:77ae:49d9> has quit IRC (Read error: Connection reset by peer)07:13
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga14:52
*** TMM_ <[email protected]> has quit IRC (Quit: https://quassel-irc.org - Chat comfortably. Anywhere.)14:55
*** TMM_ <[email protected]> has joined #f4pga14:55
*** cr1901_ is now known as cr190115:01
F4PGASlackBridge<amuttur> Hi there! I wanted to know if anyone has used a board with a differential clock in Symbiflow?16:36
josuah@amuttur can I have a hint about what a differential clock is?16:47
josuahthat got me curious :)16:48
josuah@amuttur I cannot answer diretctly, but I can point you to this: https://joelw.id.au/FPGA/CheapFPGADevelopmentBoards17:07
tpbTitle: Cheap FPGA Development Boards | Joel's Compendium of Total Knowledge (at joelw.id.au)17:07
F4PGASlackBridge<amuttur> Of course! So usually, clocks are defined as a single ended clock (i.e clk). A differential clock has a positive and a negative part to it, but can be converted to a single ended clock in Vivado by using the clock wizard17:10
F4PGASlackBridge<amuttur> There's a buffer that does the same and I think it is called IBUFDS. But F4PGA has issues reading this during the packing stage of VPR even though it exists in the library.17:11
josuahthank you! That helped me getting on the rigth track.17:36
josuah@amuttur I encounter things like this: https://stackoverflow.com/questions/40096272/how-do-i-use-set-lvds-mode-on-lattice-ice40-pins-using-icestorm-tools17:38
tpbTitle: verilog - How do I use set LVDS mode on Lattice ICE40 pins using ICESTORM tools - Stack Overflow (at stackoverflow.com)17:38
josuahif anything hardware-related, it should be somewhere like /usr/local/share/yosys/17:39
josuahthere are blackbox verilog files here, that might be able to control the hardware in order to do that (hopefully?)17:39
josuahand there might be documentation on yosyshq about that https://yosyshq.readthedocs.io/en/latest/17:40
tpbTitle: YosysHQ Documentation Library (at yosyshq.readthedocs.io)17:40
josuahbut I have also often seen hardware features undocumented, or maybe just me not finding it...17:40
josuahmaybe it is rather documented on the nextpnr-$X with $x a project for supporting a set of boards17:41
josuahso different people documenting it in different ways? maybe that is why I did not find it at first17:41
F4PGASlackBridge<amuttur> I see. But the synthesis portion is fine, so the Yosys library is okay. The issue occurs when it comes to VPR during the packing stage when it reads the eblif file produced by Yosys. It says IBUFDS is not recognized in the VPR library18:06
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)19:09
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga19:24
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)20:28
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga20:43
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga20:49
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)20:52
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga21:19
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)21:22
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)21:54
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga21:59
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)22:10
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga22:11
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Remote host closed the connection)22:27
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga22:37
*** adjtm_ <[email protected]> has joined #f4pga22:40
*** adjtm <[email protected]> has quit IRC (Ping timeout: 256 seconds)22:42
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)22:50
*** chexum <chexum!~quassel@gateway/tor-sasl/chexum> has quit IRC (Remote host closed the connection)22:59
*** chexum <chexum!~quassel@gateway/tor-sasl/chexum> has joined #f4pga22:59
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga23:01
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)23:11
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga23:20
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Quit: leaving)23:32
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)23:34
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga23:34
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga23:43
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)23:44
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC (Ping timeout: 240 seconds)23:55

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!