Thursday, 2022-03-10

*** tpb <[email protected]> has joined #f4pga00:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Write error: Connection reset by peer)00:18
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga00:21
*** bl0x <bl0x!~bastii@p200300d7a7116d009ec14f33202f95b5.dip0.t-ipconnect.de> has quit IRC (Ping timeout: 240 seconds)02:39
*** bl0x <bl0x!~bastii@p200300d7a7181e00143e35b524195664.dip0.t-ipconnect.de> has joined #f4pga02:41
lkclmarzoul: fyi the same bug in symbiflow related to CARRY4, which i discussed with acomodi when this was the #symbiflow channel, is also present in nextpnr-xilinx11:15
lkclgatecat ^11:15
lkclit does not show up when using RISC-V 32-bit cores because those never create carry-chains of more than 23-25 CARRY4 blocks11:16
lkclonly if you attempt a 64-bit core using e.g. a divide unit with 128-bit remainder/quotients e.g. in Power ISA 64-bit do you run smack into this problem11:17
lkcltoshywoshy, as discussed yesterday ^11:17
lkclthe symptoms for symbiflow are that it bombs out with an error11:18
lkclthe symptoms for nextpnr-xilinx are that it completely locks up and fails to complete, going into an infinite loop11:18
lkclas long as you stay below about 4x23-or-so (95) bit add, subtract or compare, you're "fine"11:19
lkclthe "workaround" is to use "-nocarry" to yosys "synth_xilinx"11:20
lkcl    -nocarry11:20
lkcl        do not use XORCY/MUXCY/CARRY4 cells in output netlist11:20
lkclthe proper solution is to fix the synth_xilinx techmap so that it splits anything above 95-or-thereabouts bits into separate adds/subs/cmps with an explicit carry-signal11:21
lkclx[128], y[128]11:22
lkcladd(x, y)11:22
lkcl-->11:22
lkclz[0:97] = add(x[0:96], y[0:96])11:23
lkclz[96:128] = add(z[96], x[96:128], y[96:128])11:24
lkclyou get the general idea i'm sure11:24
*** adjtm_ <[email protected]> has joined #f4pga14:35
*** adjtm <[email protected]> has quit IRC (Ping timeout: 256 seconds)14:38
*** dmorn <[email protected]> has joined #f4pga15:01
* dmorn Rolls a 6 sided dice and gets 115:01
*** dmorn <[email protected]> has quit IRC (Client Quit)15:02
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Ping timeout: 240 seconds)16:02
mithro@acomodi - Can you help with https://github.com/verilog-to-routing/vtr-verilog-to-routing/issues/1994 ?17:08
F4PGASlackBridge<acomodi> mithro: Sure thing, I'll reply shortly17:09
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga18:04
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)19:08
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga19:40
*** ec_ <ec_!~ec@gateway/tor-sasl/ec> has joined #f4pga20:22
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC (Remote host closed the connection)20:22
*** ec_ is now known as ec21:28
*** hansfbaier <[email protected]> has joined #f4pga21:50
*** marzoul <marzoul!~adrien@2a01:cb15:82a5:8c00:c528:77de:817c:915c> has quit IRC (Quit: Leaving.)22:35
*** marzoul <[email protected]> has joined #f4pga22:35
hansfbaierWhich distribution version is recommended for developing prjxray? I currently use Ubuntu 20.04.3 LTS but the cmake version that comes with it seems to be too old with latest prjxray22:45
hansfbaier?22:45
hansfbaierAh it used the old version from the Vivado settings file. That was the problem.23:17
*** tcal <tcal!sid456577@2a03:5180:f:2::6:f781> has joined #f4pga23:38
*** hansfbaier <[email protected]> has quit IRC (Quit: WeeChat 2.8)23:39
tcalThis is a Colab I made of a yosys/nextpnr-nexus build for a LiteX/Vex/accelerator on a Crosslink Nexus chip (lifcl-17).    This shows off the Nexus support added by Gatecat, and various improvements added by Antmicro.    Scroll down to see a plot of the final placement and critical path.   Note the yosys script invokes the new dsp-ff mapping optimization plugin by Antmicro.     23:45
tcalhttps://colab.research.google.com/drive/1rl9gu-_uRK8MLA3z07HMgP2L1dZOw1jd#scrollTo=DQpjM1dKO03w23:45
tpbTitle: Google Colab (at colab.research.google.com)23:45
tcalUse this link to start at the top: https://colab.research.google.com/drive/1rl9gu-_uRK8MLA3z07HMgP2L1dZOw1jd23:46
tpbTitle: Google Colab (at colab.research.google.com)23:46

Generated by irclog2html.py 2.17.2 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!